From f92011f72d9e3ee012aff3872216127aa734b2ad Mon Sep 17 00:00:00 2001 From: Rejeesh Kutty Date: Tue, 24 Mar 2015 12:30:01 -0400 Subject: [PATCH] hdmi_rx: imageon updates --- library/axi_hdmi_rx/axi_hdmi_rx_constr.xdc | 7 ++++--- 1 file changed, 4 insertions(+), 3 deletions(-) diff --git a/library/axi_hdmi_rx/axi_hdmi_rx_constr.xdc b/library/axi_hdmi_rx/axi_hdmi_rx_constr.xdc index 307ac8798..a094eff31 100644 --- a/library/axi_hdmi_rx/axi_hdmi_rx_constr.xdc +++ b/library/axi_hdmi_rx/axi_hdmi_rx_constr.xdc @@ -1,4 +1,5 @@ -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports -regexp .*_clk$]] -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]] - +set_false_path -from [get_cells *d_xfer_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ + -to [get_cells *up_xfer_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] +set_false_path -from [get_cells *up_xfer_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ + -to [get_cells *d_xfer_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}]