all: Drive undriven input signals, complete interface

- connect unused GPIO inputs to loopback
- connect unconnected inputs to zero
- complete interface for system_wrapper instantiated in all system_top

fixes incomplet portlist WARNING [Synth 8-350]
fixes undriven inputs WARNING [Synth 8-3295]

The change excludes the generated system.v and Xilinx files.
main
Laszlo Nagy 2018-08-09 10:13:21 +01:00 committed by Laszlo Nagy
parent 059933b9c1
commit fa7c85a9eb
39 changed files with 177 additions and 14 deletions

View File

@ -191,6 +191,9 @@ module axi_ad9371_rx_os #(
.adc_start_code (),
.adc_sref_sync (),
.adc_sync (),
.up_pps_rcounter (32'b0),
.up_pps_status (1'b0),
.up_pps_irq_mask (),
.up_adc_ce (),
.up_status_pn_err (up_status_pn_err),
.up_status_pn_oos (up_status_pn_oos),

View File

@ -271,6 +271,9 @@ module axi_ad9371_tx #(
.dac_status_unf (dac_dunf),
.dac_clk_ratio (32'd2),
.up_dac_ce (),
.up_pps_rcounter (32'b0),
.up_pps_status (1'b0),
.up_pps_irq_mask (),
.up_drp_sel (),
.up_drp_wr (),
.up_drp_addr (),

View File

@ -131,6 +131,9 @@ up_adc_common #(.ID(ID)) i_up_adc_common (
.adc_start_code (),
.adc_sref_sync (),
.adc_sync (),
.up_pps_rcounter (32'b0),
.up_pps_status (1'b0),
.up_pps_irq_mask (),
.up_adc_ce (),
.up_status_pn_err (1'b0),
.up_status_pn_oos (1'b0),
@ -144,7 +147,7 @@ up_adc_common #(.ID(ID)) i_up_adc_common (
.up_drp_locked (1'd1),
.up_usr_chanmax_out (),
.up_usr_chanmax_in (8'd0),
.up_adc_gpio_in (),
.up_adc_gpio_in (32'b0),
.up_adc_gpio_out (),
.up_rstn (up_rstn),
.up_clk (up_clk),
@ -207,9 +210,9 @@ for (i = 0; i < NUM_OF_CHANNELS; i=i+1) begin
.adc_iqcor_coeff_2 (),
.adc_pnseq_sel (),
.adc_data_sel (),
.adc_pn_err (),
.adc_pn_oos (),
.adc_or (),
.adc_pn_err (1'b0),
.adc_pn_oos (1'b0),
.adc_or (1'b0),
.up_adc_pn_err (),
.up_adc_pn_oos (),
.up_adc_or (),

View File

@ -349,6 +349,9 @@ up_adc_common i_up_adc_common(
.adc_sref_sync(),
.adc_sync(),
.up_pps_rcounter (32'b0),
.up_pps_status (1'b0),
.up_adc_ce(),
.up_status_pn_err(1'b0),
.up_status_pn_oos(1'b0),

View File

@ -192,6 +192,8 @@ up_adc_common i_up_adc_common(
.adc_start_code(),
.adc_sref_sync(),
.adc_sync(),
.up_pps_rcounter (32'b0),
.up_pps_status (1'b0),
.up_adc_ce(),
.up_status_pn_err(1'b0),
.up_status_pn_oos(1'b0),

View File

@ -370,7 +370,8 @@ util_axis_fifo #(
.m_axis_aresetn(spi_resetn),
.m_axis_ready(cmd_ready),
.m_axis_valid(cmd_valid),
.m_axis_data(cmd_data)
.m_axis_data(cmd_data),
.m_axis_level()
);
assign sdo_fifo_in_valid = up_wreq_s == 1'b1 && up_waddr_s == 8'h39;
@ -395,7 +396,8 @@ util_axis_fifo #(
.m_axis_aresetn(spi_resetn),
.m_axis_ready(sdo_data_ready),
.m_axis_valid(sdo_data_valid),
.m_axis_data(sdo_data)
.m_axis_data(sdo_data),
.m_axis_level()
);
assign sdi_fifo_out_ready = up_rreq_s == 1'b1 && up_raddr_s == 8'h3a;
@ -414,6 +416,7 @@ util_axis_fifo #(
.s_axis_valid(sdi_data_valid),
.s_axis_data(sdi_data),
.s_axis_empty(),
.s_axis_room(),
.m_axis_aclk(clk),
.m_axis_aresetn(up_sw_resetn),
.m_axis_ready(sdi_fifo_out_ready),

View File

@ -133,6 +133,9 @@ module system_top (
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
assign gpio_i[63:32] = gpio_o[63:32];
assign gpio_i[31:17] = gpio_o[31:17];
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),
.ddr3_ba (ddr3_ba),

View File

@ -115,6 +115,8 @@ wire iic_mux_sda_t_s;
.dio_o ({gpio_i[31:0]}),
.dio_p (gpio_bd));
assign gpio_i[63:32] = gpio_o[63:32];
ad_iobuf #(.DATA_WIDTH(2)) i_iobuf_iic_scl (
.dio_t ({iic_mux_scl_t_s,iic_mux_scl_t_s}),
.dio_i (iic_mux_scl_o_s),
@ -194,9 +196,19 @@ system_wrapper i_system_wrapper (
.spi0_csn_i(1'b1),
.spi0_csn_0_o(spi_csn[0]),
.spi0_csn_1_o(spi_csn[1]),
.spi0_csn_2_o (),
.spi0_sdi_i(spi_miso),
.spi0_sdo_i(1'b0),
.spi0_sdo_o(spi_mosi));
.spi0_sdo_o(spi_mosi),
.spi1_clk_i(1'b0),
.spi1_clk_o (),
.spi1_csn_0_o (),
.spi1_csn_1_o (),
.spi1_csn_2_o (),
.spi1_csn_i(1'b1),
.spi1_sdi_i(1'b0),
.spi1_sdo_i(1'b0),
.spi1_sdo_o());
endmodule

View File

@ -188,6 +188,7 @@ module system_top (
.gpio_i (gpio_i),
.gpio_o (gpio_o),
.gpio_t (gpio_t),
.gps_pps (1'b0),
.gt_ref_clk (gt_ref_clk),
.gt_rx_n (gt_rx_n),
.gt_rx_p (gt_rx_p),

View File

@ -192,6 +192,7 @@ module system_top (
.gpio_i (gpio_i),
.gpio_o (gpio_o),
.gpio_t (gpio_t),
.gps_pps (1'b0),
.gt_ref_clk (gt_ref_clk),
.gt_rx_n (gt_rx_n),
.gt_rx_p (gt_rx_p),

View File

@ -335,6 +335,7 @@ module system_top (
.gpio_i (gpio_i),
.gpio_o (gpio_o),
.gpio_t (gpio_t),
.gps_pps (1'b0),
.gt_ref_clk_0 (gt_ref_clk_0),
.gt_ref_clk_1 (gt_ref_clk_1),
.gt_rx_n (gt_rx_n),

View File

@ -166,6 +166,7 @@ module system_top (
.gpio_i (gpio_i),
.gpio_o (gpio_o),
.gpio_t (gpio_t),
.gps_pps (1'b0),
.iic_main_scl_io (iic_scl),
.iic_main_sda_io (iic_sda),
.otg_vbusoc (1'b0),

View File

@ -170,6 +170,7 @@ module system_top (
.gpio_i (gpio_i),
.gpio_o (gpio_o),
.gpio_t (gpio_t),
.gps_pps (1'b0),
.iic_main_scl_io (iic_scl),
.iic_main_sda_io (iic_sda),
.otg_vbusoc (1'b0),

View File

@ -224,6 +224,9 @@ module system_top (
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
assign gpio_i[31:17] = gpio_o[31:17];
assign gpio_i[63:60] = gpio_o[63:60];
system_wrapper i_system_wrapper (
.dac_fifo_bypass (gpio_o[60]),
.c0_ddr4_act_n (ddr4_act_n),

View File

@ -233,6 +233,9 @@ module system_top (
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
assign gpio_i[31:15] = gpio_o[31:15];
assign gpio_i[63:60] = gpio_o[63:60];
system_wrapper i_system_wrapper (
.dac_fifo_bypass (gpio_o[60]),
.ddr3_addr (ddr3_addr),

View File

@ -87,6 +87,8 @@ module system_top (
.dio_o (gpio_i[15:0]),
.dio_p ({gpio_bd[7:4], gpio_bd[15:8], gpio_bd[3:0]}));
assign gpio_i[63:16] = gpio_o[63:16];
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),
.ddr_ba (ddr_ba),
@ -119,7 +121,25 @@ module system_top (
.hdmi_vsync (hdmi_vsync),
.iic_main_scl_io (iic_scl),
.iic_main_sda_io (iic_sda),
.spdif (spdif));
.spdif (spdif),
.spi0_clk_i (1'b0),
.spi0_clk_o (),
.spi0_csn_0_o (),
.spi0_csn_1_o (),
.spi0_csn_2_o (),
.spi0_csn_i (1'b1),
.spi0_sdi_i (1'b0),
.spi0_sdo_i (1'b0),
.spi0_sdo_o (),
.spi1_clk_i (1'b0),
.spi1_clk_o (),
.spi1_csn_0_o (),
.spi1_csn_1_o (),
.spi1_csn_2_o (),
.spi1_csn_i (1'b1),
.spi1_sdi_i (1'b0),
.spi1_sdo_i (1'b0),
.spi1_sdo_o());
endmodule

View File

@ -89,6 +89,8 @@ module system_top (
.dio_o(gpio_i[14:0]),
.dio_p(gpio_bd));
assign gpio_i[63:15] = gpio_o[63:15];
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),
.ddr_ba (ddr_ba),
@ -121,7 +123,25 @@ module system_top (
.hdmi_vsync (hdmi_vsync),
.iic_main_scl_io (iic_scl),
.iic_main_sda_io (iic_sda),
.spdif (spdif));
.spdif (spdif),
.spi0_clk_i (1'b0),
.spi0_clk_o (),
.spi0_csn_0_o (),
.spi0_csn_1_o (),
.spi0_csn_2_o (),
.spi0_csn_i (1'b1),
.spi0_sdi_i (1'b0),
.spi0_sdo_i (1'b0),
.spi0_sdo_o (),
.spi1_clk_i (1'b0),
.spi1_clk_o (),
.spi1_csn_0_o (),
.spi1_csn_1_o (),
.spi1_csn_2_o (),
.spi1_csn_i (1'b1),
.spi1_sdi_i (1'b0),
.spi1_sdo_i (1'b0),
.spi1_sdo_o());
endmodule

View File

@ -220,7 +220,7 @@ module system_top (
adc_fdb, // 36
adc_fda, // 35
dac_irq, // 34
clkd_status})); // 32
clkd_status})); // 33-32
ad_iobuf #(.DATA_WIDTH(17)) i_iobuf_bd (
.dio_t (gpio_t[16:0]),
@ -228,6 +228,11 @@ module system_top (
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
assign gpio_i[63:44] = gpio_o[63:44];
assign gpio_i[39] = gpio_o[39];
assign gpio_i[37] = gpio_o[37];
assign gpio_i[31:17] = gpio_o[31:17];
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),
.ddr3_ba (ddr3_ba),

View File

@ -202,7 +202,7 @@ module system_top (
adc_fdb, // 36
adc_fda, // 35
dac_irq, // 34
clkd_status})); // 32
clkd_status})); // 33-32
ad_iobuf #(.DATA_WIDTH(17)) i_iobuf_bd (
.dio_t (gpio_t[16:0]),
@ -210,6 +210,11 @@ module system_top (
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
assign gpio_i[63:44] = gpio_o[63:44];
assign gpio_i[39] = gpio_o[39];
assign gpio_i[37] = gpio_o[37];
assign gpio_i[31:17] = gpio_o[31:17];
system_wrapper i_system_wrapper (
.c0_ddr4_act_n (ddr4_act_n),
.c0_ddr4_adr (ddr4_addr),

View File

@ -214,7 +214,7 @@ module system_top (
adc_fdb, // 36
adc_fda, // 35
dac_irq, // 34
clkd_status})); // 32
clkd_status})); // 33-32
ad_iobuf #(.DATA_WIDTH(21)) i_iobuf_bd (
.dio_t (gpio_t[20:0]),
@ -222,6 +222,11 @@ module system_top (
.dio_o (gpio_i[20:0]),
.dio_p (gpio_bd));
assign gpio_i[63:44] = gpio_o[63:44];
assign gpio_i[39] = gpio_o[39];
assign gpio_i[37] = gpio_o[37];
assign gpio_i[31:21] = gpio_o[31:21];
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),
.ddr3_ba (ddr3_ba),

View File

@ -221,7 +221,7 @@ module system_top (
adc_fdb, // 36
adc_fda, // 35
dac_irq, // 34
clkd_status})); // 32
clkd_status})); // 33-32
ad_iobuf #(.DATA_WIDTH(15)) i_iobuf_bd (
.dio_t (gpio_t[14:0]),
@ -229,6 +229,11 @@ module system_top (
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
assign gpio_i[63:44] = gpio_o[63:44];
assign gpio_i[39] = gpio_o[39];
assign gpio_i[37] = gpio_o[37];
assign gpio_i[31:15] = gpio_o[31:15];
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),
.ddr3_ba (ddr3_ba),

View File

@ -168,6 +168,7 @@ module system_top (
system_wrapper i_system_wrapper (
.gpio_i (gpio_i),
.gpio_o (gpio_o),
.gpio_t (),
.rx_data_0_n (rx_data_n[0]),
.rx_data_0_p (rx_data_p[0]),
.rx_data_1_n (rx_data_n[1]),

View File

@ -205,7 +205,7 @@ module system_top (
adc_fdb, // 36
adc_fda, // 35
dac_irq, // 34
clkd_status})); // 32
clkd_status})); // 33-32
ad_iobuf #(.DATA_WIDTH(17)) i_iobuf_bd (
.dio_t (gpio_t[16:0]),
@ -213,6 +213,9 @@ module system_top (
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
assign gpio_i[63:40] = gpio_o[63:40];
assign gpio_i[31:17] = gpio_o[31:17];
system_wrapper i_system_wrapper (
.c0_ddr4_act_n (ddr4_act_n),
.c0_ddr4_adr (ddr4_addr),

View File

@ -233,6 +233,9 @@ module system_top (
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
assign gpio_i[63:40] = gpio_o[63:40];
assign gpio_i[31:15] = gpio_o[31:15];
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),
.ddr3_ba (ddr3_ba),

View File

@ -173,6 +173,7 @@ module system_top (
system_wrapper i_system_wrapper (
.gpio_i (gpio_i),
.gpio_o (gpio_o),
.gpio_t (),
.rx_data_0_n (rx_data_n[0]),
.rx_data_0_p (rx_data_p[0]),
.rx_data_1_n (rx_data_n[1]),

View File

@ -172,6 +172,9 @@ module system_top (
.dio_o (gpio_i[20:0]),
.dio_p (gpio_bd));
assign gpio_i[63:34] = gpio_o[63:34];
assign gpio_i[31:21] = gpio_o[31:21];
ad_sysref_gen i_sysref (
.core_clk (rx_clk),
.sysref_en (gpio_o[34]),

View File

@ -180,6 +180,9 @@ module system_top (
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
assign gpio_i[63:34] = gpio_o[63:34];
assign gpio_i[31:15] = gpio_o[31:15];
ad_sysref_gen i_sysref (
.core_clk (rx_clk),
.sysref_en (gpio_o[34]),

View File

@ -197,6 +197,9 @@ module system_top (
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
assign gpio_i[63:38] = gpio_o[63:38];
assign gpio_i[31:15] = gpio_o[31:15];
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),
.ddr3_ba (ddr3_ba),

View File

@ -134,6 +134,9 @@ module system_top (
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
assign gpio_i[63:32] = gpio_o[63:32];
assign gpio_i[31:17] = gpio_o[31:17];
fmcjesdadc1_spi i_fmcjesdadc1_spi (
.spi_csn (spi_csn[0]),
.spi_clk (spi_clk),

View File

@ -131,6 +131,9 @@ module system_top (
.dio_o (gpio_i[20:0]),
.dio_p (gpio_bd));
assign gpio_i[63:32] = gpio_o[63:32];
assign gpio_i[31:21] = gpio_o[31:21];
fmcjesdadc1_spi i_fmcjesdadc1_spi (
.spi_csn (spi_csn_0),
.spi_clk (spi_clk),

View File

@ -120,6 +120,9 @@ module system_top (
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
assign gpio_i[63:32] = gpio_o[63:32];
assign gpio_i[31:15] = gpio_o[31:15];
assign spi_adc_clk = spi_clk;
assign spi_clk_clk = spi_clk;

View File

@ -158,6 +158,9 @@ module system_top (
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
assign gpio_i[63:47] = gpio_o[63:47];
assign gpio_i[31:17] = gpio_o[31:17];
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),
.ddr3_ba (ddr3_ba),
@ -223,6 +226,9 @@ module system_top (
.tx_data_out_p (tx_data_out_p),
.tx_frame_out_n (tx_frame_out_n),
.tx_frame_out_p (tx_frame_out_p),
.tdd_sync_i (1'b0),
.tdd_sync_o (),
.tdd_sync_t (),
.uart_sin (uart_sin),
.uart_sout (uart_sout),
.enable (enable),

View File

@ -150,6 +150,7 @@ module system_top (
.c0_ddr4_dqs_t (ddr4_dqs_p),
.c0_ddr4_odt (ddr4_odt),
.c0_ddr4_reset_n (ddr4_reset_n),
.enable (),
.gpio0_i (gpio_i[31:0]),
.gpio0_o (gpio_o[31:0]),
.gpio0_t (gpio_t[31:0]),

View File

@ -148,6 +148,9 @@ module system_top (
.dio_o (gpio_i[20:0]),
.dio_p (gpio_bd));
assign gpio_i[63:47] = gpio_o[63:47];
assign gpio_i[31:21] = gpio_o[31:21];
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),
.ddr3_ba (ddr3_ba),
@ -211,6 +214,9 @@ module system_top (
.tx_data_out_p (tx_data_out_p),
.tx_frame_out_n (tx_frame_out_n),
.tx_frame_out_p (tx_frame_out_p),
.tdd_sync_i (1'b0),
.tdd_sync_o (),
.tdd_sync_t (),
.uart_sin (uart_sin),
.uart_sout (uart_sout),
.enable (enable),

View File

@ -137,6 +137,11 @@ module system_top (
.dio_o ({gpio_i[15:12], gpio_i[7:0]}),
.dio_p ({gpio_bd[7:4], gpio_bd[11:8], gpio_bd[3:0]}));
assign gpio_i[63:51] = gpio_o[63:51];
assign gpio_i[48:47] = gpio_o[48:47];
assign gpio_i[31:16] = gpio_o[31:16];
assign gpio_i[11: 8] = gpio_o[11 :8];
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),
.ddr_ba (ddr_ba),
@ -191,6 +196,9 @@ module system_top (
.tx_data_out_p (tx_data_out_p),
.tx_frame_out_n (tx_frame_out_n),
.tx_frame_out_p (tx_frame_out_p),
.tdd_sync_i (1'b0),
.tdd_sync_o (),
.tdd_sync_t (),
.spi1_clk_i (1'b0),
.spi1_clk_o (spi_udc_sclk),
.spi1_csn_i (1'b1),

View File

@ -159,6 +159,10 @@ module system_top (
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
assign gpio_i[63:51] = gpio_o[63:51];
assign gpio_i[48:47] = gpio_o[48:47];
assign gpio_i[31:15] = gpio_o[31:15];
ad_iobuf #(.DATA_WIDTH(1)) i_iobuf_tdd_sync (
.dio_t (tdd_sync_t),
.dio_i (tdd_sync_o),

View File

@ -94,6 +94,7 @@ module system_top (
.enable (enable),
.gpio_i (gpio_i),
.gpio_o (gpio_o),
.gpio_t (),
.rx_clk_in_n (rx_clk_in_n),
.rx_clk_in_p (rx_clk_in_p),
.rx_data_in_n (rx_data_in_n),

View File

@ -144,6 +144,9 @@ module system_top (
gpio_status,
gpio_bd}));
assign gpio_i[63:51] = gpio_o[63:51];
assign gpio_i[48:47] = gpio_o[48:47];
ad_iobuf #(.DATA_WIDTH(2)) i_iobuf_iic_scl (
.dio_t ({iic_mux_scl_t_s,iic_mux_scl_t_s}),
.dio_i (iic_mux_scl_o_s),
@ -206,6 +209,9 @@ module system_top (
.rx_data_in_p (rx_data_in_p),
.rx_frame_in_n (rx_frame_in_n),
.rx_frame_in_p (rx_frame_in_p),
.tdd_sync_i (1'b0),
.tdd_sync_o (),
.tdd_sync_t (),
.spdif (spdif),
.spi0_clk_i (1'b0),
.spi0_clk_o (spi_clk),

View File

@ -102,6 +102,8 @@ module system_top (
gpio_ctl, // 11: 8
gpio_status})); // 7: 0
assign gpio_i[18:17] = gpio_o[18:17];
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),
.ddr_ba (ddr_ba),