Commit Graph

3469 Commits (main)

Author SHA1 Message Date
Ionut Podgoreanu c8eba2361d jupiter_sdr: Enable Cache Coherency
Signed-off-by: Ionut Podgoreanu <ionut.podgoreanu@analog.com>
2024-04-30 17:41:57 +03:00
LIacob106 789358da80
projects/ad9694_fmc/zcu102: Add reference design for ad9694 eval board (#1059)
* ad9694: Add reference design for ad9694 eval board

Signed-off-by: Bogdan Luncan <bogdan.luncan@analog.com>
Co-authored-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2024-04-26 15:20:58 +03:00
bluncan 5405050518 common: vpk180: Add support for vpk180
Signed-off-by: bluncan <bogdan.luncan@analog.com>
2024-04-26 15:01:50 +03:00
PIoandan 606551b478
ad7606x: Add configurable digital interface support
Unified the ad7606x_fmc project, where both the serial and the parallel interface are implemented.
---------

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-04-26 12:03:31 +03:00
PIoandan b2dc91b30d
pulsar_adc_pmdz: Port to ZedBoard
Added support for AD40xx family in the PulSAR ADC project.

---------

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-04-24 15:43:57 +03:00
PIoandan ab4ea30f6b
Pulsar_LVDS: Add Project on Zedboard
* Add axi_pulsar_lvds IP core

---------

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-04-16 11:25:32 +03:00
Jorge Marques 22ff237010
Tell flock to use sh (#1303)
With the new make -jX support flock is used but shells out sometimes.
This assumes a bash like shell which isn't always the case. This fix
forces flock to use sh.

Signed-off-by: Travis F. Collins <travis.collins@analog.com>
2024-04-09 15:41:34 -03:00
ladace 393a1f6fd6
ADD adaq42xx (#1209)
* ad4630_fmc: Initial version of ADAQ4224 w/ and w/o fully isolated power supply

Signed-off-by: Liviu Adace <liviu.adace@analog.com>

* docs:ad4630_fmc: Add documentation for ADAQ4224

Signed-off-by: Liviu Adace <liviu.adace@analog.com>

---------

Signed-off-by: Liviu Adace <liviu.adace@analog.com>
2024-04-02 14:50:25 +03:00
Alin-Tudor Sferle aa51783811 gmsl/kv260: Initial commit
Signed-off-by: Alin-Tudor Sferle <Alin-Tudor.Sferle@analog.com>
2024-03-18 17:05:03 +02:00
PIoandan 1074779db9
hdl: Zed-AD7768: Wideband fixed bug (#1281)
* AD7768_zed: Fix wideband filter bug

In SPI control mode, when not used as GPIO the FILTER pin and when a
crystal is used as the clock source, this pin must be set to 1.
The START pin must be tied to a logic 1 through a pull-up resistor, when
it is not used.
2024-03-06 17:28:43 +02:00
Jorge Marques e2ca5a991a
spi_engine: Create interface_ip.tcl (#1251)
Use tcl script instead of static xmls for the interface.
Easier to maintain and are not gitignored.
Rename spi_master to spi_engine because every interface should be
prefixed by the IP name; in this case, spi_engine.
Also, remove interface/*.sv files on make clean and git ignore them.

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-02-28 10:31:46 -03:00
StancaPop 4b8f3f06f7 adrv2crr_fmcxmwbr1: Merge with xmicrowave 2024-02-20 17:48:00 +02:00
LBFFilho c9d28cdb42
s10soc: Fix issue affecting stratix 10 projects (#1221)
The introduction of sysid IPs on some Stratix 10 projects introduced a
problem where they would fail to build, due to mem_init_sys_file_path
not being defined. This is fixed now.

Signed-off-by: Laez Barbosa <laez.barbosa@analog.com>
2024-02-19 09:02:07 -03:00
PIoandan 29544604ec
Update cn0540 spi engine (#1207)
Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-02-13 16:14:59 +02:00
AndrDragomir 74a190d8b2 adrv9026: Initial design
Signed-off-by: AndrDragomir <andrei.dragomir@analog.com>
2024-02-02 15:46:18 +02:00
Jorge Marques 231632e8ca scripts:project_intel.mk: Fix make clean-all target
Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-01-23 14:32:11 +02:00
AndreiGrozav b6e2a997c1 scripts:project_xilinx.mk: Fix make clean-all target
Signed-off-by: AndreiGrozav <andrei.grozav@analog.com>
2024-01-23 14:32:11 +02:00
Iulia Moldovan 68461110aa Replace link in license header from master to main
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2024-01-16 16:48:45 +02:00
Liam Beguin 887ffac0ed
scripts: Parallel build with pattern rules (#1202)
Drop shell for loops in favor of makefile pattern rules,
so make can run targets in parallel using -j.
This doesn't affect Vivado's own settings.

As a benchmark, 12th Gen Intel(R) Core(TM) i9-12900H 5GHz(max):
	$ make -C projects/adrv9009/zcu102/ clean-all
	$ time make -C projects/adrv9009/zcu102/ -j$CORES lib
CORES=1:
	real    9m27.223s
	user    9m2.556s
	sys     0m32.358s
CORES=8:
	real    1m54.639s
	user    16m26.512s
	sys     1m2.317s
i.e. about 5 times faster to build IP core dependencies.

Signed-off-by: Liam Beguin <liambeguin@gmail.com>
Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2023-12-14 17:27:23 +00:00
AndreiGrozav 6998cc99b4 m2k: Remove dac last_sample_hold control
axi_dac_interpolate - Remove last sample hold control
axi_ad9963 - Remove last sample hold control and set as default the
last sample hold functionality plus code optimization changes.
2023-12-12 16:51:05 +02:00
cristianmihaipopa c1e0698719
AD9434: Zed porting and documentation (#1210) 2023-12-07 15:18:59 +02:00
Ionut Podgoreanu 9f2a03f29d arradio: Enable the scatter-gather DMA core
Signed-off-by: Ionut Podgoreanu <ionut.podgoreanu@analog.com>
2023-12-04 14:34:33 +02:00
Ionut Podgoreanu 7a28a69061 fmcomms2: Enable the scatter-gather DMA core
Signed-off-by: Ionut Podgoreanu <ionut.podgoreanu@analog.com>
2023-12-04 14:34:33 +02:00
StancaPop 17d3baf417 ad7616_sdz: Add axi_clkgen 2023-11-09 14:43:20 +02:00
Stanca Pop f1f3968485 ad7616_sdz: Remove zc706 support 2023-11-09 14:43:20 +02:00
Stanca Pop 3446cc2100 ad7616_sdz: Add fmc pinout 2023-11-09 14:43:20 +02:00
Stanca Pop d97550fa71 ad7616_sdz: Use SPI Engine for serial mode
This commit makes the following changes:
Add SPI Engine for serial mode
Add SER_PAR_N build parameter, set default 1 for serial
Fix irq consistency in ad7616_bd.tcl
Fix regmap and offload names
Fix system_top.v GPIOs
2023-11-09 14:43:20 +02:00
PIoandan a806a6f6ec
projects: Add missing sysid IP (#1172)
* Projects: Add missing sysid IP

* Added make parameters for the sysid ip for the projects: ad9209_fmca_ebz/vck190, ad9213_dual_ebz/s10soc and adrv9009/s10soc

Signed-off-by: Pop Ioan Daniel <pop.ioan-daniel@analog.com>
2023-11-03 09:52:13 +02:00
kylex 365933542d
scripts/adi_board.tcl: use axi_interconnect for HP ports on Zynq-7000 family
Commit 5db7574 switched ad_cpu_interconnect from SmartConnect to
AXI Interconnect for Zynq-7000 family SoC. This commit does the
same for ad_mem_hpx_interconnect.

Signed-off-by: Alexander Vickberg <wickbergster@gmail.com>
Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2023-10-30 09:48:32 -03:00
Iulia Moldovan f81532d1d7 projects: Update Readme.md for ad9783_ebz & ad9081/ad9082_fmca_ebz
* Now the Readme.md points to the GitHubIO documentation

Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2023-10-26 09:13:36 +03:00
PIoandan daf9e1744a
pulsar_adc_pmdz: Add .txt file for constraints
I changed the comments from  system_constr.xdc file.
Added pulsar_adc_pmdz_pmod.txt.
Tests were done on the eval-ad7689-ebz and eval-ad7984-pmdz boards.

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2023-10-25 17:15:23 +03:00
PIoandan 86216958a7
Update cn0363 spi engine (#1183)
* Update cn0363 spi engine

I replaced the SPI Engine connections in the cn0363_bd.tcl with the
spi_engine_create procedure found in the spi_engine.tcl script. Through
these changes, a more generic instantiation for the spi_engine can be
achieved. I updated the system_constr.xdc file and
created the cn0363_pmod.txt file.

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2023-10-25 15:26:36 +03:00
Bogdan Luncan b1002cacbe common: vmk180: Connected missing ss from spi
ad9081_fmca_ebz: vck190: system_top: Fixed spi signals indentation

Signed-off-by: Bogdan Luncan <bogdan.luncan@analog.com>
2023-10-25 13:13:01 +03:00
PIoandan d3be77931b
Update ad469x spi engine (#1181)
* Update ad469x spi engine

I replaced the SPI Engine connections in the ad469x_bd.tcl with the
spi_engine_create procedure found in the spi_engine.tcl script. Through
these changes, a more generic instantiation for the spi_engine can be
achieved. I also created the ad469x_fmc.txt file for generating the
system_constr.xdc file.

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2023-10-24 12:44:48 +03:00
PIoandan 18cb0b7846
Update ad738x spi engine (#1179)
* Update SPI Engine AD738x

I replaced the SPI Engine connections in the ad738x_bd.tcl with the
spi_engine_create procedure found in the spi_engine.tcl script. Through
these changes, a more generic instantiation for the spi_engine can be
achieved. I changed the ad738x_bd.tcl where it was added spi_engine_create
procedure, system_bd.tcl and system_top.v files.
I have update system_constr.xdc file and added ad738x_fmc.txt file.

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2023-10-24 12:06:06 +03:00
Ioan-daniel Pop 219680968e V2: Update ad5766 spi engine
I edited the ad5766_fmc.txt file.

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2023-10-24 10:36:07 +03:00
Ioan-daniel Pop 8dbdfcce37 Update ad5766 spi engine
In this project it was created the ad5766_fmc.txt file for generating the system_constr.xdc file.
Also it was updated the system_constr.xdc and Readme.md files.

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2023-10-24 10:36:07 +03:00
Ioan-daniel Pop db1ef483a4 V2: Update adaq7980 spi engine
Regenerated the Makefile.

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2023-10-24 10:29:45 +03:00
Ioan-daniel Pop fce0491ad7 Update adaq7980 spi engine
I replaced the SPI Engine connections in the adaq7980_bd.tcl with the
spi_engine_create procedure found in the spi_engine.tcl script. Through
these changes, a more generic instantiation for the spi_engine can be
achieved. I configured the parameters for axi_pwm_gen and axi_clkgen according
to the results in the SPI_Engine_Timing_Computations Excel where I created a file
for adaq7980.
I created the adaq7980_fmc.txt file for generating the system_constr.xdc file.
I modified the system_bd.tcl, system_top.v, system_constr.xdc and Readme.md files.
Also I regenerated the Makefile.
2023-10-24 10:29:45 +03:00
laurentiu_popa 7ccc505950 projects/ad7134_fmc: Add FMC pinout description
* Added txt description of all FMC pins used/unused
* Updated constraint files with FMC pinout location

Signed-off-by: laurentiu_popa <Laurentiu.Popa@analog.com>
2023-10-19 13:56:12 +03:00
laurentiu_popa cf4d2b5a6f projects/ad4134_fmc: Add FMC pinout descripton
* Added txt description of all FMC pins used/unused
* Updated constraint files with FMC pinout location

Signed-off-by: laurentiu_popa <Laurentiu.Popa@analog.com>
2023-10-19 13:55:30 +03:00
laurentiu_popa 497a5f3f3a projects/cn0561: Add FMC pin descripton for all carriers
* Added txt description of all FMC pins used/unused
* Updated constraint files with FMC pinout location

Signed-off-by: laurentiu_popa <Laurentiu.Popa@analog.com>
2023-10-18 13:48:53 +03:00
Vilmos-Csaba Jozsa 16db583643
FMC pinout configurations for AD4630. (#1193)
* projects/ad4630_fmc: Added ad4630_fmc.txt FMC conf file and pinout comments for .xdc files.

Signed-off-by: Villyam <Vilmoscsaba.Jozsa@analog.com>
2023-10-18 10:28:11 +03:00
Liviu.Iacob 6e3553ef4f ad9083_evb/a10soc: Overwrite spi frequency 2023-10-17 10:13:45 +03:00
Ionut Podgoreanu 455bfbcafb pluto: Enable phaser integration
This commit adds support for ADALM-PHASER, allowing the user to choose between the default PlutoSDR mode and Phaser mode
through a software controlled GPIO pin: phaser_enable.

The Generic TDD Engine was integrated to output a logic signal on the L10P pin, which connects to the input of the ADF4159,
when receiving an external synchronization signal on the L12N pin from the Raspberry Pi. Two additional TDD channels are used
to synchronize the TX/RX DMA transfer start:
- TDD CH1 is connected to the RX DMA, triggering the synchronization flag;
- TDD CH2 is connected to the TX unpacker's reset, backpressuring the TX DMA until deasserted.

Signed-off-by: Ionut Podgoreanu <ionut.podgoreanu@analog.com>
2023-10-06 14:20:22 +03:00
AndreiGrozav cde9956948 ad4858_fmcz: Initial design
Reference design for AD4858 20-bit, low noise 8-channel, SAR ADC with
buffered differential, wide common range picoamp inputs.

The design supports:
- CMOS and LVDS interfaces(at build time)
- Runtime sampling changes
- Store captured samples in RAM, through DMA (available via software support)

Documentation at: https://wiki.analog.com/resources/eval/user-guides/ad4858_fmcz/ad4858_fmcz_hdl
2023-10-05 10:19:03 +03:00
PopPaul2021 c29c092bdc projects/ad3552r_evb: Added project for AD3552R-EVB on ZedBoard.
The project controls the AD3552R digital-to-analog converter and transmits data written in the DDR memory to the QSPI interface of the DAC.
The reference clock is generated by an axi_clkgen IP and is configured to output a 133MHz signal.
If both channels are enabled and data streaming is DDR the sample rate is 16.65MSPS.
If just one channel is enabled and data streaming is DDR the sample rate is 33.3MSPS.
The VADJ voltage should be set to 1.8V.

Signed-off-by: PopPaul2021 <Paul.Pop@analog.com>
2023-10-02 11:07:08 +03:00
Jem Geronimo 4abb8b3b97 dc2677a: add initial design
Signed-off-by: Jem Geronimo <Johnerasmusmari.Geronimo@analog.com>
2023-10-02 15:10:04 +08:00
AndreiGrozav 8b07dfa033 jupiter_sdr: USB power delivery always on 2023-09-29 10:11:49 +03:00
AndreiGrozav 0b61df7847 jupiter_sdr: Change the SD ctrl config to autodir 2023-09-29 10:11:49 +03:00