Commit Graph

10 Commits (15ce8cc3563cf220cc33a4d6213062bee4fab37f)

Author SHA1 Message Date
Istvan Csomortani 1c23cf4621 all: Update verilog files to verilog-2001 2017-04-13 11:59:55 +03:00
Istvan Csomortani c9a5057b93 library/prcfg : Split data bus to channels
Because of the new pack/upack modules on the data path, it makes more sense to split the data interface of the PR modules into separate channels.
The top module will supports max 4 channels.
2015-10-13 11:36:45 +03:00
Lars-Peter Clausen 6862655b0d Add .gitattributes file
Add .gitattributes file which sets up the eol encoding handling. This will
make sure that we get a uniform eol encoding across different operating
systems.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2015-06-26 11:07:10 +02:00
Rejeesh Kutty 707b285669 prcfg: bb def 2015-05-04 10:24:13 -04:00
Istvan Csomortani 42874bfe81 prcfg_library: Major update
Get rid of the QPSK symbol wrapper for now. The DMA data path is using the 2 LSB bits.
2014-11-18 10:05:52 +02:00
Istvan Csomortani 9dfbf4a9a6 prcfg: Update the prcfg logic to the new ad9361 interface 2014-08-05 17:54:37 +03:00
Istvan Csomortani dc78ced443 prcfg_lib: Change the prcfg_top interface
Use the device core's gpio_input and gpio_output registers to get/set
  status and control of PR.
2014-07-08 12:28:25 +03:00
Istvan Csomortani 8eb7a55797 prcfg_lib: Fixed the gpio status merge logic
The previous logic did not passed implementation.
2014-07-02 18:09:48 +03:00
Istvan Csomortani 89961c8dd7 prcfg_lib: Update the PR libraries
+ Flop the control nets too inside the adc/dac module
  + Flop the gpio_out in prcfg_top
2014-06-13 20:35:35 +03:00
Istvan Csomortani ea22d29862 prcfg: Initial check in of PR modules
Initial check in of the partial reconfiguraiton modules.
2014-06-05 14:58:14 +03:00