Commit Graph

6536 Commits (15ff99a9bdca4745fc494bf02b1134e6f370ab97)

Author SHA1 Message Date
Jorge Marques 15ff99a9bd docs: i3c_controller: Add documentation
Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-04-12 09:19:18 -03:00
Jorge Marques e646e61ce4 i3c_controller: Add I3C Controller IP
Add I3C Controller IP with required I3C features support.
Uses IRQ based DAA.
Supports speeds at 100MHz clk: 12.50MHz, 6.25MHz, 3.12MHz, 1.56MHz
Basic IBI support with/without MDB.
Compatible with AMD Xilinx and Altera FPGAs.

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-04-12 09:19:18 -03:00
Jorge Marques 6c8dd7ee15 common: Add ad_mem_dual
Dual access memory abstraction.

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-04-12 09:19:18 -03:00
Jorge Marques a2a8518911
spi_engine: Remove nonexistent interface, add dep (#1289)
Remove nonexistant pulse_gen_* interface on axi_spi_engine_hw.
Add sync_event.v to spi_engine_offload's intel_deps.
Fixes simultation on questasim.

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-04-12 09:17:18 -03:00
Jorge Marques 22ff237010
Tell flock to use sh (#1303)
With the new make -jX support flock is used but shells out sometimes.
This assumes a bash like shell which isn't always the case. This fix
forces flock to use sh.

Signed-off-by: Travis F. Collins <travis.collins@analog.com>
2024-04-09 15:41:34 -03:00
IstvanZsSzekely 74089397b3
util_do_ram: Added keep signal to the FIFO (#1291)
util_do_ram: Added keep signal to the FIFO

Signed-off-by: Istvan-Zsolt Szekely <istvan.szekely@analog.com>
2024-04-04 14:35:13 +03:00
ladace 393a1f6fd6
ADD adaq42xx (#1209)
* ad4630_fmc: Initial version of ADAQ4224 w/ and w/o fully isolated power supply

Signed-off-by: Liviu Adace <liviu.adace@analog.com>

* docs:ad4630_fmc: Add documentation for ADAQ4224

Signed-off-by: Liviu Adace <liviu.adace@analog.com>

---------

Signed-off-by: Liviu Adace <liviu.adace@analog.com>
2024-04-02 14:50:25 +03:00
Villyam fd81a821b0 library/axi_pwm_gen: Replaced blocking assignments in reset.
Lattice tools give error for using blocking assignments at one side
and non blocking in the other.

Signed-off-by: Villyam <Vilmoscsaba.Jozsa@analog.com>
2024-03-28 17:16:04 +02:00
Villyam 5ebd95004d library/axi_clock_monitor: Removed ID offset check, regmap optimized.
Signed-off-by: Villyam <Vilmoscsaba.Jozsa@analog.com>
2024-03-28 09:34:21 +02:00
Stanca Pop a990883237 Change axi_spi_engine to uppercase 2024-03-27 16:58:20 +02:00
Stanca Pop 4d587b2c0e regmap: Update SPI Engine regmap 2024-03-27 16:58:20 +02:00
caosjr 075378fb92
docs: Add JESD204 documentation (#1280)
docs: Add JESD204 documentation in sphinx

Fixes several semantic issues from the original doc in wiki
Implicit path to library when the doc is hierarchically coherent with the
library.

Signed-off-by: Carlos Souza <carlos.souza@analog.com>
Co-authored-by: Jorge Marques <jorge.marques@analog.com>
2024-03-27 09:33:20 -03:00
PIoandan 9ba4c66c63
docs: Add ad7768 documentation (#1283)
docs: Add ad7768 documentation

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-03-26 09:26:45 +02:00
Stanca Pop 9de7990027 Add axi_ad7616 regmap 2024-03-20 10:16:14 +02:00
Alin-Tudor Sferle aa51783811 gmsl/kv260: Initial commit
Signed-off-by: Alin-Tudor Sferle <Alin-Tudor.Sferle@analog.com>
2024-03-18 17:05:03 +02:00
Jorge Marques f2a00c8528
spi_engine: Revert Offload AXI signals, ctrl fixup (#1288)
Revert AXI bus signals back to upper case on SPI Engine Offload IP,
changed on e2ca5a991a.
Fixup signals from sd*_data_* to sd*_* for spi_engine_ctrl interface.
Non-breaking mistake, but added warnings to the IP.

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-03-14 11:45:33 -03:00
Sergiu Arpadi a9e0836a77 doc: Update hdl coding guidelines
Since parameters/local parameters can be involved in the declaration of
registers/wires, it is best practice to declare them first.
2024-03-11 09:22:56 +02:00
LBFFilho 2052817dcb
SPI Engine: Add registers for Offload memory and FIFO sizes (#1279)
* SPI Engine: Add registers for Offload memory and FIFO sizes

Adds registers at dword 0x04 and 0x05, respectively allowing software
to get the sizes of the Offload Module memories (command and sdo) or
the sizes of the FIFOs on the AXI regmap.

Signed-off-by: Laez Barbosa <laez.barbosa@analog.com>
2024-03-08 08:40:48 -03:00
PIoandan 1074779db9
hdl: Zed-AD7768: Wideband fixed bug (#1281)
* AD7768_zed: Fix wideband filter bug

In SPI control mode, when not used as GPIO the FILTER pin and when a
crystal is used as the clock source, this pin must be set to 1.
The START pin must be tied to a logic 1 through a pull-up resistor, when
it is not used.
2024-03-06 17:28:43 +02:00
Jorge Marques e2ca5a991a
spi_engine: Create interface_ip.tcl (#1251)
Use tcl script instead of static xmls for the interface.
Easier to maintain and are not gitignored.
Rename spi_master to spi_engine because every interface should be
prefixed by the IP name; in this case, spi_engine.
Also, remove interface/*.sv files on make clean and git ignore them.

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-02-28 10:31:46 -03:00
Jorge Marques be0e2809e9
docs: Use doctools (#1258)
The extensions have been moved to docs tools.
The source code is available at
https://github.com/analogdevicesinc/doctools
And is installed as before:
(cd docs ; pip install -r requirements.txt --upgrade)
Since the package is listed on the requirements.txt file.

Also, add index for library and projects

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-02-22 11:32:04 -03:00
PIoandan af64c55613
docs: Add pulsar_adc project documentation (#1275)
Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-02-21 15:54:50 +02:00
PIoandan a7442d3c78
docs: Add cn0363_pmdz project documentation (#1278)
* docs: Add cn0363_pmdz project documentation

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-02-21 15:51:15 +02:00
StancaPop 4b8f3f06f7 adrv2crr_fmcxmwbr1: Merge with xmicrowave 2024-02-20 17:48:00 +02:00
Iulia Moldovan 1e4dc519fc adi_util_hbm.tcl: Change wrong var name rx_tx_n->tx_rx_n
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2024-02-20 17:43:30 +02:00
Iulia Moldovan 608044d124 util_hbm_ip.tcl: Fix LENGTH_WIDTH and HBM_SEGMENTS_PER_MASTER errors
* Value 24 was wrongfully set for parameter LENGTH_WIDTH, because
  it is not among the valid values, which are 28, 29, ..., 34. Set '28'
  to be the default value
* Vivado Tcl somehow didn't accept the old expression set for
  calculating the HBM_SEGMENTS_PER_MASTER parameter, so it was changed
  accordingly to work. Dropped "expr", ".0" and "int ()" parsing and now
  it works

Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2024-02-20 17:43:30 +02:00
Iulia Moldovan 40fb2c3bbb adi_env.tcl: Update Vivado version to 23.2
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2024-02-20 17:43:30 +02:00
PIoandan 3bf7cbbe45
docs: Add ad463x_fmc project documentation (#1277)
Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-02-20 14:41:08 +02:00
LBFFilho c9d28cdb42
s10soc: Fix issue affecting stratix 10 projects (#1221)
The introduction of sysid IPs on some Stratix 10 projects introduced a
problem where they would fail to build, due to mem_init_sys_file_path
not being defined. This is fixed now.

Signed-off-by: Laez Barbosa <laez.barbosa@analog.com>
2024-02-19 09:02:07 -03:00
PIoandan 29544604ec
Update cn0540 spi engine (#1207)
Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-02-13 16:14:59 +02:00
PIoandan 86cd484865
lib/axi_pwm_gen: Update pause_cnt logic (#1271)
Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-02-07 11:16:40 +02:00
LBFFilho f01d7e5951
SPI Engine: fix early sdi data clear (#1231)
* SPI Engine: fix early sdi data clear

In case an SPI read was immediately followed by a cs assert, the sdi
register was being cleared one cycle too soon, so that the data being
passed on was always 'b0.

Signed-off-by: Laez Barbosa <laez.barbosa@analog.com>
2024-02-05 17:18:27 -03:00
Iulia Moldovan b786ceac10 docs/ad9434_fmc: Fix links
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2024-02-02 15:47:59 +02:00
Alin-Tudor Sferle 73c4cfe88e docs/regmap: Update pwm_gen regmap
Update the pwm_gen regmap's registers related to period/width/offset

Signed-off-by: Alin-Tudor Sferle <Alin-Tudor.Sferle@analog.com>
2024-02-02 15:46:55 +02:00
AndrDragomir 74a190d8b2 adrv9026: Initial design
Signed-off-by: AndrDragomir <andrei.dragomir@analog.com>
2024-02-02 15:46:18 +02:00
PIoandan a31eb76366
docs: Add cn0540 documentation (#1248)
docs: Add cn0540 documentation.

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-01-31 16:59:51 +02:00
Jorge Marques c3f6f8685b
docs: Fixups on ad7134_fmc and cn0561 (#1261)
Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-01-29 13:35:57 +00:00
laurent-19 265a3287a3
docs: Add ad4134_fmc doc (#1247)
* docs: Add ad4134_fmc doc. Update by guidelines

Signed-off-by: laurent-19 <laurentiu.popa@analog.com>
---------

Signed-off-by: laurent-19 <laurentiu.popa@analog.com>
2024-01-29 14:41:13 +02:00
laurent-19 cba731f19c
docs: Add ad7134_fmc doc (#1246)
* docs: Add ad7134_fmc doc. Update by guidelines

Signed-off-by: laurent-19 <laurentiu.popa@analog.com>
---------

Signed-off-by: laurent-19 <laurentiu.popa@analog.com>
2024-01-29 14:40:23 +02:00
laurent-19 0c3b8a1069
docs: Add cn0561 doc (#1245)
* docs: Add cn0561 doc. Update by guidelines

Signed-off-by: laurent-19 <laurentiu.popa@analog.com>

---------

Signed-off-by: laurent-19 <laurentiu.popa@analog.com>
2024-01-29 14:38:53 +02:00
Jorge Marques e1dd6e5d56
docs: Update user guide, remove legacy code (#1242)
Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-01-29 12:36:25 +00:00
IstvanZsSzekely 57356cc4ee
util_axis_fifo: Update (#1255)
* util_axis_fifo: Update

- Added missing signal drivers for tlast and tkeep

Signed-off-by: Istvan-Zsolt Szekely <istvan.szekely@analog.com>
2024-01-26 13:31:21 +02:00
Jorge Marques 231632e8ca scripts:project_intel.mk: Fix make clean-all target
Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-01-23 14:32:11 +02:00
AndreiGrozav b8fef86cc3 Add library .lock files to git ignore
Signed-off-by: AndreiGrozav <andrei.grozav@analog.com>
2024-01-23 14:32:11 +02:00
AndreiGrozav b6e2a997c1 scripts:project_xilinx.mk: Fix make clean-all target
Signed-off-by: AndreiGrozav <andrei.grozav@analog.com>
2024-01-23 14:32:11 +02:00
Laez Barbosa d300b9c55c SPI Engine: Formatting on spi_engine_offload
Signed-off-by: Laez Barbosa <laez.barbosa@analog.com>
2024-01-17 09:11:24 -03:00
Laez Barbosa d45be68ac4 SPI Engine: edge-based trigger
Previous level-based trigger could cause issues in some low
sampling rate setups. This commit changes it to edge-based.

Signed-off-by: Laez Barbosa <laez.barbosa@analog.com>
2024-01-17 09:11:24 -03:00
Iulia Moldovan b45e7a7313 Replace other master branch references to main
* README.md
* adi_regmap_xcvr.txt
* build_hdl.rst
* hdl_coding_guideline.rst
* data_offload/README.md

Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2024-01-16 16:48:45 +02:00
Iulia Moldovan 68461110aa Replace link in license header from master to main
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2024-01-16 16:48:45 +02:00
Jorge Marques 107b043550
library: jesd204: Fixup Vivado exiting with error (#1243)
The lack of the create_xgui_files causes Vivado to exit with an error
when running multiple Vivado instances (parallel make case)

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-01-16 12:43:03 +00:00