Commit Graph

4 Commits (3dceb53984183c684a59e5d47671286febaa2836)

Author SHA1 Message Date
Istvan Csomortani df36902713 lib_refactoring: Fix path of the IO macros 2016-08-08 15:07:19 +03:00
Adrian Costina 70e1d13a7b fmcomms2: Updated project 2015-03-13 12:49:17 +02:00
Istvan Csomortani ca4c961891 fmcomms2: Use ad_iobuf instance on system_top
Use ad_iobuf instance on system top, instead of separate IOBUF instances.
2014-10-10 18:45:39 +03:00
ATofan 2c898bf3a2 Added ZC706, ZC702 and ZED FMCOMMS2 Vivado Project
ZC706 runs rx_clk at 250 MHz.
ZC702 and ZED run rx_clk at 200 MHz due to slower fabric.
The ZC702 and ZED projects need init_user in the boot procedure in order for the HP Ports to work correctly.
Both DDS and DMA mode work.
2014-03-18 15:27:42 +02:00