Commit Graph

5 Commits (57302820ed1490f3fc434dddcbb637cb3f4b382c)

Author SHA1 Message Date
Adrian Costina 49f50829fa axi_i2s_adi: Fixed pins directions 2015-03-12 16:57:45 +02:00
Lars-Peter Clausen 96339ba96f axi_i2s: Add missing signals to the regmap read process sensitivity list
Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:51 +02:00
Lars-Peter Clausen 32dd1d1a4a axi_i2s: Set unused signals to 0
Fixes warnings from the tools about undriven signals.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:50 +02:00
Lars-Peter Clausen 41cc92ef49 Remove BASEADDR/HIGHADDR parameters
This is unused and unneeded. The AXI interconnect will make sure that a
peripheral only gets requests that are meant for it, there is no need to
check the address in the peripheral itself.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-11 12:26:37 +02:00
Rejeesh Kutty f7c9368abc initial checkin 2014-02-28 14:26:22 -05:00