Commit Graph

6548 Commits (606551b4785c676a3e78dab247641e569cba78f2)

Author SHA1 Message Date
PIoandan 606551b478
ad7606x: Add configurable digital interface support
Unified the ad7606x_fmc project, where both the serial and the parallel interface are implemented.
---------

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-04-26 12:03:31 +03:00
PIoandan b2dc91b30d
pulsar_adc_pmdz: Port to ZedBoard
Added support for AD40xx family in the PulSAR ADC project.

---------

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-04-24 15:43:57 +03:00
AndreiGrozav 03043f732a axi_ad9963: Fix TxQ 1 sample delay compared to TxI
For ODDR in "SAME_EDGE" mode.

Signed-off-by: AndreiGrozav <andrei.grozav@analog.com>
2024-04-19 19:36:40 +03:00
dumitruceclan a23ed6f715 axi_logic_analyzer: Improve overwrite control logic
1. Add intermediary data_src_select register to control output selection
 between DMA and RAW. The switch RAW->DMA is not made until DMA has valid
 data; the switch DMA->RAW is not made until overwrite_enable is 1
 regardless of dac_valid.

2. When overwrite is enabled, set the intermediary DMA register data_r
 to the RAW value.

  This fixes an issue of the logic analizer that caused the last sample of a DMA
transfer to be visible at the next DMA transfer.

Signed-off-by: dumitruceclan <dumitru.ceclan@analog.com>
2024-04-19 19:35:50 +03:00
AndreiGrozav 8c08c5a65a axi_pwm_gen: Update constraint file
This change will fix the timing closure for designs where the external
clock is not a submultiple of the s_axi_clk.

Signed-off-by: AndreiGrozav <andrei.grozav@analog.com>
2024-04-19 15:23:55 +03:00
AndreiGrozav e79091eecd axi_pwm_gen: Add/update github documentation
Signed-off-by: AndreiGrozav <andrei.grozav@analog.com>
Signed-off-by: Iulia Moldovan <iulia.moldovan@analog.com>
2024-04-19 15:23:55 +03:00
AndreiGrozav 344ca6fc3d axi_pwm_gen: New features and fixes
New features:

1. External sync force the phase align. The external sync was used to align
   the phases of enabled pwms, but only after being armed by a
   load_config signal toggle.
   This feature lets the user decide between using load_config to
   arm and wait for a neg-edge of sync or automatic phase align trigger
   on the ext_sync neg-edge.
2. Force align. Lets the user chose between immediately stopping the
   active pulses and realigning them, or waiting for all running pulse
   periods end, before realigning.
3. Start at sync. When this feature is activated, the pulses will start immediately
   after the trigger event. Otherwise, each pulse will start after a period
   equal to the one for which it is set.
4. Use parameters to set the default status after reset of the
   - soft reset
   - start at sync
   - force align
   - ext sync align

Update regmap.

Fixes:

1. The polarity on disabled channels was staying high instead of low.
2. Fix 0 and 100 proc duty cycle configuration.

Signed-off-by: AndreiGrozav <andrei.grozav@analog.com>
Signed-off-by: Alin-Tudor Sferle <Alin-Tudor.Sferle@analog.com>
2024-04-19 15:23:55 +03:00
AndreiGrozav 765e9e36f8 axi_dac_interpolate: Update license header 2024-04-19 10:00:35 +03:00
AndreiGrozav faf88adf85 axi_dac_interpolate: Fix low sampling rate issues
Intermittently DAC channel data is 0 after multiple new buffers.
Due to the low sampling rate and DMA flushing, it happens that the
transfer SM gets stuck in flushing mode right before the transmission
should start.

Another frequent issue happens when a new transmission is started.
A buffer must be pushed independently for each channel because of
separate DMAs.
After the first buffer is pushed the Linux driver deactivates the
start_sync flag. Not knowing if the other channel/buffer will be
active/pushed. The start_sync will be re-enabled with the second buffer.
The issue was that the SM of the first buffer went one step further
before the push if the second buffer,not being stopped by the
start_sync.

Signed-off-by: AndreiGrozav <andrei.grozav@analog.com>
2024-04-19 10:00:35 +03:00
Iulia Moldovan 4fc6922688 CODEOWNERS: Fix misspelled folder names. Add new projects & IPs
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2024-04-18 16:41:15 +03:00
PIoandan bffd8d1c09
Add pulsar_lvds project documentation
* docs: Add pulsar_lvds project documentation

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-04-16 11:40:31 +03:00
PIoandan ab4ea30f6b
Pulsar_LVDS: Add Project on Zedboard
* Add axi_pulsar_lvds IP core

---------

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-04-16 11:25:32 +03:00
Jorge Marques 15ff99a9bd docs: i3c_controller: Add documentation
Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-04-12 09:19:18 -03:00
Jorge Marques e646e61ce4 i3c_controller: Add I3C Controller IP
Add I3C Controller IP with required I3C features support.
Uses IRQ based DAA.
Supports speeds at 100MHz clk: 12.50MHz, 6.25MHz, 3.12MHz, 1.56MHz
Basic IBI support with/without MDB.
Compatible with AMD Xilinx and Altera FPGAs.

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-04-12 09:19:18 -03:00
Jorge Marques 6c8dd7ee15 common: Add ad_mem_dual
Dual access memory abstraction.

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-04-12 09:19:18 -03:00
Jorge Marques a2a8518911
spi_engine: Remove nonexistent interface, add dep (#1289)
Remove nonexistant pulse_gen_* interface on axi_spi_engine_hw.
Add sync_event.v to spi_engine_offload's intel_deps.
Fixes simultation on questasim.

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-04-12 09:17:18 -03:00
Jorge Marques 22ff237010
Tell flock to use sh (#1303)
With the new make -jX support flock is used but shells out sometimes.
This assumes a bash like shell which isn't always the case. This fix
forces flock to use sh.

Signed-off-by: Travis F. Collins <travis.collins@analog.com>
2024-04-09 15:41:34 -03:00
IstvanZsSzekely 74089397b3
util_do_ram: Added keep signal to the FIFO (#1291)
util_do_ram: Added keep signal to the FIFO

Signed-off-by: Istvan-Zsolt Szekely <istvan.szekely@analog.com>
2024-04-04 14:35:13 +03:00
ladace 393a1f6fd6
ADD adaq42xx (#1209)
* ad4630_fmc: Initial version of ADAQ4224 w/ and w/o fully isolated power supply

Signed-off-by: Liviu Adace <liviu.adace@analog.com>

* docs:ad4630_fmc: Add documentation for ADAQ4224

Signed-off-by: Liviu Adace <liviu.adace@analog.com>

---------

Signed-off-by: Liviu Adace <liviu.adace@analog.com>
2024-04-02 14:50:25 +03:00
Villyam fd81a821b0 library/axi_pwm_gen: Replaced blocking assignments in reset.
Lattice tools give error for using blocking assignments at one side
and non blocking in the other.

Signed-off-by: Villyam <Vilmoscsaba.Jozsa@analog.com>
2024-03-28 17:16:04 +02:00
Villyam 5ebd95004d library/axi_clock_monitor: Removed ID offset check, regmap optimized.
Signed-off-by: Villyam <Vilmoscsaba.Jozsa@analog.com>
2024-03-28 09:34:21 +02:00
Stanca Pop a990883237 Change axi_spi_engine to uppercase 2024-03-27 16:58:20 +02:00
Stanca Pop 4d587b2c0e regmap: Update SPI Engine regmap 2024-03-27 16:58:20 +02:00
caosjr 075378fb92
docs: Add JESD204 documentation (#1280)
docs: Add JESD204 documentation in sphinx

Fixes several semantic issues from the original doc in wiki
Implicit path to library when the doc is hierarchically coherent with the
library.

Signed-off-by: Carlos Souza <carlos.souza@analog.com>
Co-authored-by: Jorge Marques <jorge.marques@analog.com>
2024-03-27 09:33:20 -03:00
PIoandan 9ba4c66c63
docs: Add ad7768 documentation (#1283)
docs: Add ad7768 documentation

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-03-26 09:26:45 +02:00
Stanca Pop 9de7990027 Add axi_ad7616 regmap 2024-03-20 10:16:14 +02:00
Alin-Tudor Sferle aa51783811 gmsl/kv260: Initial commit
Signed-off-by: Alin-Tudor Sferle <Alin-Tudor.Sferle@analog.com>
2024-03-18 17:05:03 +02:00
Jorge Marques f2a00c8528
spi_engine: Revert Offload AXI signals, ctrl fixup (#1288)
Revert AXI bus signals back to upper case on SPI Engine Offload IP,
changed on e2ca5a991a.
Fixup signals from sd*_data_* to sd*_* for spi_engine_ctrl interface.
Non-breaking mistake, but added warnings to the IP.

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-03-14 11:45:33 -03:00
Sergiu Arpadi a9e0836a77 doc: Update hdl coding guidelines
Since parameters/local parameters can be involved in the declaration of
registers/wires, it is best practice to declare them first.
2024-03-11 09:22:56 +02:00
LBFFilho 2052817dcb
SPI Engine: Add registers for Offload memory and FIFO sizes (#1279)
* SPI Engine: Add registers for Offload memory and FIFO sizes

Adds registers at dword 0x04 and 0x05, respectively allowing software
to get the sizes of the Offload Module memories (command and sdo) or
the sizes of the FIFOs on the AXI regmap.

Signed-off-by: Laez Barbosa <laez.barbosa@analog.com>
2024-03-08 08:40:48 -03:00
PIoandan 1074779db9
hdl: Zed-AD7768: Wideband fixed bug (#1281)
* AD7768_zed: Fix wideband filter bug

In SPI control mode, when not used as GPIO the FILTER pin and when a
crystal is used as the clock source, this pin must be set to 1.
The START pin must be tied to a logic 1 through a pull-up resistor, when
it is not used.
2024-03-06 17:28:43 +02:00
Jorge Marques e2ca5a991a
spi_engine: Create interface_ip.tcl (#1251)
Use tcl script instead of static xmls for the interface.
Easier to maintain and are not gitignored.
Rename spi_master to spi_engine because every interface should be
prefixed by the IP name; in this case, spi_engine.
Also, remove interface/*.sv files on make clean and git ignore them.

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-02-28 10:31:46 -03:00
Jorge Marques be0e2809e9
docs: Use doctools (#1258)
The extensions have been moved to docs tools.
The source code is available at
https://github.com/analogdevicesinc/doctools
And is installed as before:
(cd docs ; pip install -r requirements.txt --upgrade)
Since the package is listed on the requirements.txt file.

Also, add index for library and projects

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-02-22 11:32:04 -03:00
PIoandan af64c55613
docs: Add pulsar_adc project documentation (#1275)
Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-02-21 15:54:50 +02:00
PIoandan a7442d3c78
docs: Add cn0363_pmdz project documentation (#1278)
* docs: Add cn0363_pmdz project documentation

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-02-21 15:51:15 +02:00
StancaPop 4b8f3f06f7 adrv2crr_fmcxmwbr1: Merge with xmicrowave 2024-02-20 17:48:00 +02:00
Iulia Moldovan 1e4dc519fc adi_util_hbm.tcl: Change wrong var name rx_tx_n->tx_rx_n
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2024-02-20 17:43:30 +02:00
Iulia Moldovan 608044d124 util_hbm_ip.tcl: Fix LENGTH_WIDTH and HBM_SEGMENTS_PER_MASTER errors
* Value 24 was wrongfully set for parameter LENGTH_WIDTH, because
  it is not among the valid values, which are 28, 29, ..., 34. Set '28'
  to be the default value
* Vivado Tcl somehow didn't accept the old expression set for
  calculating the HBM_SEGMENTS_PER_MASTER parameter, so it was changed
  accordingly to work. Dropped "expr", ".0" and "int ()" parsing and now
  it works

Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2024-02-20 17:43:30 +02:00
Iulia Moldovan 40fb2c3bbb adi_env.tcl: Update Vivado version to 23.2
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2024-02-20 17:43:30 +02:00
PIoandan 3bf7cbbe45
docs: Add ad463x_fmc project documentation (#1277)
Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-02-20 14:41:08 +02:00
LBFFilho c9d28cdb42
s10soc: Fix issue affecting stratix 10 projects (#1221)
The introduction of sysid IPs on some Stratix 10 projects introduced a
problem where they would fail to build, due to mem_init_sys_file_path
not being defined. This is fixed now.

Signed-off-by: Laez Barbosa <laez.barbosa@analog.com>
2024-02-19 09:02:07 -03:00
PIoandan 29544604ec
Update cn0540 spi engine (#1207)
Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-02-13 16:14:59 +02:00
PIoandan 86cd484865
lib/axi_pwm_gen: Update pause_cnt logic (#1271)
Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-02-07 11:16:40 +02:00
LBFFilho f01d7e5951
SPI Engine: fix early sdi data clear (#1231)
* SPI Engine: fix early sdi data clear

In case an SPI read was immediately followed by a cs assert, the sdi
register was being cleared one cycle too soon, so that the data being
passed on was always 'b0.

Signed-off-by: Laez Barbosa <laez.barbosa@analog.com>
2024-02-05 17:18:27 -03:00
Iulia Moldovan b786ceac10 docs/ad9434_fmc: Fix links
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2024-02-02 15:47:59 +02:00
Alin-Tudor Sferle 73c4cfe88e docs/regmap: Update pwm_gen regmap
Update the pwm_gen regmap's registers related to period/width/offset

Signed-off-by: Alin-Tudor Sferle <Alin-Tudor.Sferle@analog.com>
2024-02-02 15:46:55 +02:00
AndrDragomir 74a190d8b2 adrv9026: Initial design
Signed-off-by: AndrDragomir <andrei.dragomir@analog.com>
2024-02-02 15:46:18 +02:00
PIoandan a31eb76366
docs: Add cn0540 documentation (#1248)
docs: Add cn0540 documentation.

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2024-01-31 16:59:51 +02:00
Jorge Marques c3f6f8685b
docs: Fixups on ad7134_fmc and cn0561 (#1261)
Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2024-01-29 13:35:57 +00:00
laurent-19 265a3287a3
docs: Add ad4134_fmc doc (#1247)
* docs: Add ad4134_fmc doc. Update by guidelines

Signed-off-by: laurent-19 <laurentiu.popa@analog.com>
---------

Signed-off-by: laurent-19 <laurentiu.popa@analog.com>
2024-01-29 14:41:13 +02:00