Commit Graph

2383 Commits (6d5b5b50a561ad84c0b2b145ad3756ffd7045300)

Author SHA1 Message Date
Rejeesh Kutty 2027c8427c adi_boadr- disconnect and remove unused ports 2017-04-27 13:26:17 -04:00
Rejeesh Kutty 902eaaaf4c fmcadc5- sync updates 2017-04-27 13:26:17 -04:00
Istvan Csomortani 0442e7d404 util_adxcvr: Fix parameter setup at instantiation
If a parameter value is defined as a string binary (e.g. "001001000000"),
it can confuse the tool, and the value may be used as a decimal number.
To prevent this issue and to improve readability converting all the binary
constants into hexadecimal.
2017-04-27 15:35:39 +03:00
Istvan Csomortani 8aa8d3a0e5 ad5766_sdz/zed: Fix i_iobuf_reset width 2017-04-27 11:28:26 +03:00
Istvan Csomortani 4836aa2179 adaq7980/zed: Update Makefile 2017-04-27 11:28:25 +03:00
Istvan Csomortani fbccb377cc adaq7980: Add an trigger generator for SPI offload 2017-04-27 11:28:23 +03:00
Istvan Csomortani 63cab50872 adaq7980_sdz: Initial commit
The device is interfaced with a SPI Engine, the PD lines are controlled
by GPIOs.
2017-04-27 11:28:23 +03:00
Dragos Bogdan ccc4aac505 ad5766_sdz: Fix the PIN assignment 2017-04-27 11:27:34 +03:00
Istvan Csomortani 8213d8a916 cn0363: Update block design
Configure the interconnect and offload modules inorder to activate
its interfaces. In the past, these interfaces did not have any
parameter dependencies, so this configuration were not required.
2017-04-27 11:27:33 +03:00
Istvan Csomortani a6146393be ad5766_sdz: Fix DMA data path 2017-04-27 11:22:32 +03:00
Istvan Csomortani a2c20551a2 axi_ad5766: Add Makefiles for the core 2017-04-27 11:22:31 +03:00
Istvan Csomortani f5fba79a08 ad5766_zed: Add an IOBUF to the reset line 2017-04-27 11:21:14 +03:00
Istvan Csomortani 9de0fe56d9 ad5766: Integrate the new axi_ad5766 into the project 2017-04-27 11:21:14 +03:00
Istvan Csomortani d177827224 ad5766_sdz : Fix SPI interface connection 2017-04-27 11:16:23 +03:00
Istvan Csomortani 225d133a68 ad5766_sdz: Initial commit 2017-04-27 11:12:45 +03:00
Rejeesh Kutty cfd4e006b3 hdlmake updates 2017-04-25 15:46:26 -04:00
Rejeesh Kutty 8fba8295f0 fmcadc5- hdl sync handling 2017-04-25 15:44:40 -04:00
Rejeesh Kutty 68bb7ffa40 adi_board- keep port delete simple 2017-04-25 15:44:03 -04:00
Istvan Csomortani 8eb65186e9 cn0363: Reorder the configuration settings of the fir filters
It seems that there are some dependencies between the fir compiler
cores parameters. With the old order of the parameter settings,
the tool throws the following warning:

CRITICAL WARNING: [BD 41-237] Bus Interface property TDATA_NUM_BYTES
does not match between /processing/sequencer/i_q_filtered(4)
and /processing/lpf/M_AXIS_DATA(5)
2017-04-25 17:40:09 +03:00
Adrian Costina a6457cb54f m2k:standalone, remove power optimizations as they are performed manually
- testing shows that the actual power consumtion is a bit less with them turned off
2017-04-25 10:09:55 +03:00
Istvan Csomortani b92703b59f daq2: Fix typo 2017-04-24 15:44:45 +03:00
Istvan Csomortani 0cfef974a6 cn0363: Fix typos and mistakes made in 0737183 2017-04-24 12:43:33 +03:00
Istvan Csomortani b9bc85dd1a daq2/zcu102: Update tcl command for IP configuration 2017-04-24 11:51:12 +03:00
Istvan Csomortani 871cfa7e5b daq2/kcu105: Update tcl command for IP configuration 2017-04-24 11:50:35 +03:00
Istvan Csomortani 49f096dc71 daq1: Fix typo 2017-04-24 11:49:08 +03:00
Istvan Csomortani 4e77acf282 adv7511/kcu105: Update tcl command for IP configuration 2017-04-24 11:48:33 +03:00
Lars-Peter Clausen 216826a9e5 adv7511: audio_clkgen: Disable clock source buffer insertion
Depending on the configuration of the clock source type of the input clock
the clocking wizard will instantiate all kinds of buffers on the input
clock signal.

For these particular projects there is no need to add any kind of buffer
since the source is already coming from a global clock buffer.  So set the
configuration accordingly.

Avoids the following warning:
	[Opt 31-32] Removing redundant IBUF since it is not being driven by a
	top-level port. i_system_wrapper/system_i/sys_audio_clkgen/inst/clkin1_ibufg
	Resolution: The tool has removed redundant IBUF. To resolve this
	warning, check for redundant IBUF in the input design.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-21 14:21:27 +02:00
Lars-Peter Clausen 4a582bf9ad adv7511: audio_clkgen: Disable phase alignment
There is no need for the audio clock to be phase aligned to its source
clock. When phase alignment is disabled the MMCM uses an internal feedback
path without requiring external resources, so disable it.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-21 14:21:27 +02:00
Lars-Peter Clausen 76e4105bfd adv7511: audio_clkgen: Infer input clock frequency
Instead of manually specifying the input clock frequency let the core infer
it automatically. This makes it more straight forward to change the clock
frequency.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-21 14:21:27 +02:00
Lars-Peter Clausen a12adf9f02 adv7511: audio_clkgen: Disable unused pins
Neither the reset nor the locked signal is used in this design, so disable them. Avoids warnings about unconnected pins.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-21 14:21:27 +02:00
Istvan Csomortani 74687eb88b fmcomms7: Update IP instantiations 2017-04-21 15:12:01 +03:00
Istvan Csomortani 5174bc1f67 fmcomms11: Update IP instantiations 2017-04-21 15:11:25 +03:00
Istvan Csomortani c9eaa43b1e fmcomms5: Update UP instantiations 2017-04-21 15:10:44 +03:00
Istvan Csomortani bb0cdf2f5e fmcomms2: Update IP instantiations 2017-04-21 15:09:37 +03:00
Istvan Csomortani 95249d2165 fmcjesdadc1: Update IP instantiations 2017-04-21 15:08:16 +03:00
Istvan Csomortani 4eaa43f8e1 daq3: Update IP instantiations 2017-04-21 15:07:41 +03:00
Istvan Csomortani 1ae357ce10 daq1: Update IP instantiations 2017-04-21 15:06:40 +03:00
Istvan Csomortani 073718315a cn0363: Update IP instantiations 2017-04-21 15:06:06 +03:00
Istvan Csomortani fa794520fd kc705_common/adv7511: Update IP instantiations 2017-04-21 15:03:31 +03:00
Lars-Peter Clausen 0e6cc95d0d pzsdr1/pzsdr2: audio_clkgen: Disable clock source buffer insertion
Depending on the configuration of the clock source type of the input clock
the clocking wizard will instantiate all kinds of buffers on the input
clock signal.

For these particular projects there is no need to add any kind of buffer
since the source is already coming from a global clock buffer.  So set the
configuration accordingly.

Avoids the following warning:
	[Opt 31-32] Removing redundant IBUF since it is not being driven by a
	top-level port. i_system_wrapper/system_i/sys_audio_clkgen/inst/clkin1_ibufg
	Resolution: The tool has removed redundant IBUF. To resolve this
	warning, check for redundant IBUF in the input design.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-21 13:22:33 +02:00
Lars-Peter Clausen 95a46bf1e1 pzsdr1/pzsdr2: audio_clkgen: Disable phase alignment
There is no need for the audio clock to be phase aligned to its source
clock. When phase alignment is disabled the MMCM uses an internal feedback
path without requiring external resources, so disable it.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-21 13:22:33 +02:00
Lars-Peter Clausen cfc51016ea pzsdr1/pzsdr2: audio_clkgen: Infer input clock frequency
Instead of manually specifying the input clock frequency let the core infer
it automatically. This makes it more straight forward to change the clock
frequency.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-21 13:22:19 +02:00
Istvan Csomortani 6ed721ee66 adrv9371/a10soc: Integrate the avl_dacfifo into project 2017-04-21 13:27:35 +03:00
Istvan Csomortani 2379514ae6 ac701_common/adv7511: Update IP instantiations
IPs are instantiated using the ad_ip_instance process, and configured
with the ad_ip_paramter process, to facilitate the tool upgrade.
2017-04-21 13:16:25 +03:00
Lars-Peter Clausen 6d72ce800c m2k: zed: Fix default HDMI clock frequency
The input clock frequency of the axi_clkgen was changed from 200 MHz to
100 Mhz. Update the divider settings accordingly to keep the standard
default output frequency of 148.5 MHz.

The incorrect divider settings did not affect operation of the design since
software reprograms them at startup anyway, but changing them avoids the
following warning:
	[DRC 23-20] Rule violation (AVAL-46) v7v8_mmcm_fvco_rule1 - The current computed target frequency, FVCO, is out of range for cell i_system_wrapper/system_i/axi_hdmi_clkgen/inst/i_mmcm_drp/i_mmcm. The computed FVCO is 445.455 MHz. The valid FVCO range for speed grade -1 is 600MHz to 1200MHz. The cell attribute values used to compute FVCO are CLKFBOUT_MULT_F = 49.000, CLKIN1_PERIOD = 10.00000, and DIVCLK_DIVIDE = 11 (FVCO = 1000 * CLKFBOUT_MULT_F/(CLKIN1_PERIOD * DIVCLK_DIVIDE)).
	This violation may be corrected by:
	  1. The timer uses timing constraints for clock period or clock frequency that affect CLKIN1 to set cell attribute CLKIN1_PERIOD, over-riding any previous value. This may already be in place and, if so this violation will be resolved once Timing is run.  Otherwise, consider modifying timing constraints to adjust the CLKIN1_PERIOD and bring FVCO into the allowed range.
	  2. In the absence of timing constraints that affect CLKIN1, consider modifying the cell CLKIN1_PERIOD to bring FVCO into the allowed range.
	  3. If CLKIN1_PERIOD is satisfactory, modify the CLKFBOUT_MULT_F or DIVCLK_DIVIDE cell attributes to bring FVCO into the allowed range.
	  4. The MMCM configuration may be dynamically modified by use of DRP which is recognized by an ACTIVE signal on DCLK pin.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-20 20:36:34 +02:00
Lars-Peter Clausen bb0021a926 common: zed/zc702/zc706/mitx045: audio_clkgen: Disable phase alignment
There is no need for the audio clock to be phase aligned to its source
clock. When phase alignment is disabled the MMCM uses an internal feedback
path without requiring external resources, so disable it.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-20 18:12:24 +02:00
Lars-Peter Clausen bfcc3696e4 common: zed/zc702/zc706/mitx045: Set audio clkgen clock source type
Depending on the configuration of the clock source type of the input clock
the clocking wizard will instantiate all kinds of buffers on the input
clock signal.

For these particular projects there is no need to add any kind of buffer
since the source is already coming from a global clock buffer.  So set the
configuration accordingly.

Avoids the following warning:
	[Opt 31-32] Removing redundant IBUF since it is not being driven by a
	top-level port. i_system_wrapper/system_i/sys_audio_clkgen/inst/clkin1_ibufg
	Resolution: The tool has removed redundant IBUF. To resolve this
	warning, check for redundant IBUF in the input design.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-20 18:12:24 +02:00
Lars-Peter Clausen 23ccc66f22 common: zc702/zc706/mitx045: audio_clkgen: Infer input clock frequency
Instead of manually specifying the input clock frequency let the core infer
it automatically. This makes it more straight forward to change the clock
frequency.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-20 18:12:24 +02:00
Istvan Csomortani 806947444f ad9434_fmc: Port redeclaration as a wire is not allowed 2017-04-20 14:33:47 +03:00
Lars-Peter Clausen 0ffbe50163 m2k: zed: Run video DMA at higher clock rate
For the M2K standalone version we run the interface clock at a lower rate
to reduce the power consumption. While this is not necessary on the ZED
board we also run the interface at a lower rate for consistency.

Currently the video DMA on the ZED board uses the interface clock for the
data path as well. This is now too slow to support 1080p@60Hz so move it
over to a faster clock.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-19 16:29:09 +02:00
Lars-Peter Clausen b213567305 common: zed: audio_clkgen: Infer input clock frequency
Instead of manually specifying the input clock frequency let the core infer
it automatically. This makes it more straight forward to change the clock
frequency.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-19 16:29:09 +02:00
Istvan Csomortani 1d4b92190a fmcomms2/zc702: Fix Warning[Synth 8-2611]
In Verilog-2001 standard, redeclaration of an output port as a wire
is not allowed.
2017-04-19 13:54:03 +03:00
Rejeesh Kutty 8e6dbe1917 fmcadc5/vc707, lpm mode 2017-04-18 12:41:53 -04:00
Rejeesh Kutty ddfbdfd2f7 pzsdr1/pzsdr2- ccbox added tws 2017-04-18 11:37:23 -04:00
Adrian Costina 5a7aa80145 m2k: zed, cleaned up some warnings 2017-04-18 17:17:11 +03:00
Adrian Costina 919010e85f m2k: ip automatic version update 2017-04-18 15:12:07 +03:00
Adrian Costina b05505a1c3 m2k: Move the BRAM generation outside of the variable fifo IP 2017-04-18 12:17:40 +02:00
Lars-Peter Clausen 5f83e20d33 m2k: standalone: Rework PS7 clocking
At the moment the PS7 is using three PLLs to generate its clocking tree.
One for the DDR, one for the ARM and one for the IO. This allows to run all
components at their respective maximum clock and extract maximum
performance from all components.

With some slight modifications it is possible to trade maximum performance
for a reduction in power consumption by using the same PLL for all three
sets of components and disabling the other two PLLs.

The CPU is now running at 500MHz rather than 666MHz and the DDR memory at
500MHz rather than 533MHz. This reduces power consumption by ~125mW.

This is OK since neither of them is a bottleneck for overall system
performance.

In addition software will downclock the CPU to 250MHz when full performance
is not required.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-18 12:17:40 +02:00
Lars-Peter Clausen 3b748d8252 m2k: standalone: Disable 200MHz clock
The 200 MHz clock was only used as the IODELAY controller clock. Since the
design does not use any IODELAYs anymore this clock can be removed.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-18 12:17:40 +02:00
Lars-Peter Clausen 63dfec25c1 m2k: standalone: Disable 2nd PS7 reset port
This reset is not used in the design, so remove it.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-18 12:17:40 +02:00
Adrian Costina 189e9194c8 m2k: Standalone, enabled power optimization 2017-04-18 12:17:40 +02:00
Adrian Costina 8b76c34dea scripts: Created ADI_POWER_OPTIMIZATION parameter for enabling power optimizations in the implementation stage 2017-04-18 12:17:40 +02:00
Adrian Costina 07e52b4566 m2k: Connect logic_analyzer path to clk_out instead of clk
- this allows for the clock switching to be done inside axi_logic_analyzer core
2017-04-18 12:17:39 +02:00
Lars-Peter Clausen 610cc3affa m2k: standalone: Disable DMA debug registers
The debug register logic for the DMA take up a fair amount of resources.
Disabling them frees up space in the FPGA and also helps a bit with power.
Since those registers are mainly useful in development and not so much in
production the change shouldn't have any visible external effects.

It is possible to re-enable the debug registers by setting DEBUG_BUILD=1.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-18 12:17:39 +02:00
Lars-Peter Clausen c9f863f189 m2k: standalone: Set static switching activity for the reset signals
The global reset signals are only asserted for a short moment during system
startup and deasserted during normal operation, which is the case we care
about for power analysis. Giving them a static switching probability
indicating that they are always de-asserted will yield better results for
power analysis.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-18 12:17:39 +02:00
Lars-Peter Clausen b206f8f37a m2k: Disable AD9963 core RX datapath again
The RX datapath has a lot of things (IQ correction, DC filter, ...) that
take up a lot of space which are all not really needed in this project. So
disable the RX datapath.

It was previously enabled because the ad9963 core did not perform
sign-extension on the ADC data signal when the datapath was disabled. But
this has now been addressed.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-18 12:17:39 +02:00
Lars-Peter Clausen c1ba57f808 m2k: Rework clocking domains
At the moment the register map fabric and DMA system memory side are
clocked by the 100MHz sys_cpu_clk. While this works fine that is a lot
faster than the clock has to run. There are only a few 100 register map
accesses per seconds at most and they are not on timing critical paths. The
penalty from clocking them at a lower rate is negligible for the overall
system performance.

The maximum clock rate for the DMAs is determined by the throughput
requirements. This is 200 Mbytes/s for the logic analyzer, pattern
generator and each of the DAC DMAs and 400 Mbytes/s for the ADC DMA.

The DMA datapath width is 64-bit so the required clock rates are 25MHz and
50MHz respectively. Some headroom is required to accommodate for occasional
bubble cycles on the data bus and the difference in reference clocks for
the converter and processing system.

The sys_cpu_clk is reduced to 27.8MHz which is fast enough for all but the
ADC DMA. For the ADC DMA a new clock domain running at 55.6 MHz is
introduced.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-18 12:17:39 +02:00
Lars-Peter Clausen 2eaf931e07 m2k: Replace logic analyzer MMCM
The MMCM generating the logic analyzer clock unfortunately consumes a
disproportionately large amount of power compared to the rest of the
design.

Replace it by sourcing the logic analyzer clock from one of the Zynq FCLKs.
The IO PLL is running anyway so the power requirement is much lower.

For the time being this means we loose the ability to source the clock from
an external pin. But that feature is not supported by software at the
moment anyway. We'll bring it eventually when required.

This changes reduces power consumption by roughly 100mW.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-18 12:17:39 +02:00
Lars-Peter Clausen e616c8da0b m2k: Remove channel pack core for now
We always have both ADC channels enabled and the cpack core takes up a fair
amount of space, so remove it for now. Might come back later when we really
need it.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-18 12:17:39 +02:00
Adrian Costina efa2d0c006 m2k: Connected adc/dac resets to decimation/interpolation cores 2017-04-18 12:17:39 +02:00
Adrian Costina 500112f79b m2k: Renamed l_clk to adc_clk and rst to adc_rst 2017-04-18 12:17:39 +02:00
Adrian Costina 6a49aefb6c m2k: Updated project to use new tx path with serdes 2017-04-18 12:17:39 +02:00
Lars-Peter Clausen b58a5c37eb m2k: Reduce AXI interconnect utilization
Use the new axi_rd_wr_combiner module to ... the read and write DMA
interfaces into a single interface. This allows the AXI interconnect
completely optimize itself away and reduce the overall resource utilization
of the project.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-04-18 12:17:39 +02:00
Adrian Costina 71394ee465 kcu105: ip automatic version update 2017-04-18 11:59:54 +03:00
Adrian Costina 942d69a30c Makefiles: Change MMU enabling parameter for altera designs from MMU to NIOS2_MMU 2017-04-18 10:57:16 +03:00
Adrian Costina 8e0c87e089 pluto: cleaned up some warnings 2017-04-18 10:34:13 +03:00
Adrian Costina f3c0be0868 motcon2_fmc: cleaned up some warnings 2017-04-18 10:33:13 +03:00
Adrian Costina 6e14cdb5f4 cftl_std: cleaned up some warnings 2017-04-18 10:32:28 +03:00
Adrian Costina d585d65692 cftl_cip: cleaned up some warnings 2017-04-18 10:29:20 +03:00
Adrian Costina 20672a3a8b mitx045: ip automatic version update 2017-04-14 17:46:25 +03:00
Adrian Costina 954037a716 microzed: ip automatic version update 2017-04-14 17:24:24 +03:00
Adrian Costina ebc04bcd9c usdrx1: ip automatic version update 2017-04-14 17:16:35 +03:00
Adrian Costina 24b797f1a6 motcon2: ip automatic version update 2017-04-14 17:11:08 +03:00
Adrian Costina 4981e6e525 usb_fx3: ip automatic version update 2017-04-14 16:55:30 +03:00
Adrian Costina c419b0042b pluto: ip automatic version update 2017-04-14 16:55:07 +03:00
Adrian Costina 79174422b6 imageon: ip automatic version update 2017-04-14 16:54:42 +03:00
Adrian Costina 4bda0c3a1a cftl_cip: ip automatic version update 2017-04-14 16:54:07 +03:00
Adrian Costina afe8b071a3 cftl_std: ip automatic version update 2017-04-14 16:53:10 +03:00
Istvan Csomortani 1c23cf4621 all: Update verilog files to verilog-2001 2017-04-13 11:59:55 +03:00
AndreiGrozav 04a4001dba Ip automatic version update: fmcadc2, fmcadc5 2017-04-12 19:03:16 +03:00
AndreiGrozav 627f78ec19 Ip automatic version update: common/board
- vc707
- zc702
- zed
2017-04-12 19:03:16 +03:00
Rejeesh Kutty 6d2b3bc1c7 adi_project- try something simple first 2017-04-11 14:27:35 -04:00
Rejeesh Kutty 1d9a8a24dc adi_board- create_bd_cell replacement 2017-04-11 14:26:02 -04:00
AndreiGrozav bc9483c5a2 Ip automatic version: Update ad*/common/ad*_bd.tcl
ad6676evb/common/ad6676evb_bd.tcl
ad7616_sdz/common/ad7616_bd.tcl
ad7768evb/common/ad7768evb_bd.tcl
ad9265_fmc/common/ad9265_bd.tcl
ad9434_fmc/common/ad9434_bd.tcl
ad9467_fmc/common/ad9467_bd.tcl
ad9739a_fmc/common/ad9739a_fmc_bd.tcl
adrv9371x/common/adrv9371x_bd.tcl
adv7511/common/adv7511_bd.tcl
fmcadc4/common/fmcadc4_bd.tcl
2017-04-10 18:52:37 +03:00
Rejeesh Kutty 454e6c0382 daq2- ad-ip-instance & ad-ip-parameter 2017-04-06 13:04:53 -04:00
Rejeesh Kutty 2535165461 xilinx- ad-ip-instance & ad-ip-parameter 2017-04-06 13:04:19 -04:00
Rejeesh Kutty 80f93e6a31 zc706- ad-ip-instance & ad-ip-parameter 2017-04-06 13:03:22 -04:00
Rejeesh Kutty 820874ef93 adi_board- add auto ip version handling 2017-04-06 13:02:17 -04:00
Lars-Peter Clausen e04793b6eb m2k: standalone: Assign 0 to unused GPIO inputs
To avoid warnings from the tools assign 0 to the unused GPIO inputs.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-03-30 11:16:25 +02:00
Rejeesh Kutty 8eb1dd0a8b adrv9371x/altera- xilinx/chip-select consistency 2017-03-29 12:59:09 -04:00
Lars-Peter Clausen 24a7d8ea9d m2k: Remove redundant s_axi_{aclk,aresetn} assignment
ad_cpu_interconnect will make sure to connect the clock and the reset of
the AXI interface. Remove the redundant manual assignments.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2017-03-28 11:14:48 +02:00
Rejeesh Kutty deb8635854 adrv9371x/altera- gpio equivalency fix 2017-03-27 16:37:55 -04:00
Rejeesh Kutty 8f1564a9c4 adrv9371x/a10gx- gpio matching 2017-03-27 13:51:45 -04:00
Rejeesh Kutty ae0f4672b2 daq1/a10gx- fix project to compile 2017-03-23 09:46:40 -04:00
Rejeesh Kutty cc6bf53d98 adrv9371x/a10soc- altera reset synchronizer false path? 2017-03-23 09:46:40 -04:00
Adrian Costina 968d94603e fmcjesdadc1: Update xcvr configuration to the default one used for this board 2017-03-23 11:31:00 +02:00
Rejeesh Kutty 4a275302a0 a5soc- add ddr3 location assignments 2017-03-22 10:12:34 -04:00
Rejeesh Kutty 7e87ecae22 altera/a10gx- daq1/fmcomms2 fix typos 2017-03-22 09:48:02 -04:00
Rejeesh Kutty b3f06af77a altera srf files do not work 2017-03-22 09:25:50 -04:00
Rejeesh Kutty 66a5d44a18 a5gte- add constraints for tq 2017-03-21 10:53:31 -04:00
Rejeesh Kutty 2e22ce3b62 a10gx- ignore preliminary timing model warnings 2017-03-21 10:52:28 -04:00
Rejeesh Kutty d84e34fe5f arradio/c5soc- reset false path for vga dma 2017-03-21 10:15:38 -04:00
Rejeesh Kutty 8063ba2b66 make updates 2017-03-20 16:05:18 -04:00
Rejeesh Kutty c7351f3ce3 arradio/c5soc- remove qsys files 2017-03-20 15:56:07 -04:00
Rejeesh Kutty 589e6b53d8 arradio/c5soc- qsys-script flow 2017-03-20 15:42:33 -04:00
Rejeesh Kutty b39fecadd9 altera- ignore preliminary timing messages 2017-03-20 12:48:53 -04:00
Rejeesh Kutty 7dfa8c599f arradio/c5soc- updated to new framework/16.0 2017-03-20 12:15:18 -04:00
Rejeesh Kutty 12f44ccbcc arradio/c5soc- critical warnings fix 2017-03-20 12:14:21 -04:00
Rejeesh Kutty 9b6dd27c23 ad9361- delay initialization 2017-03-15 12:06:59 -04:00
Adrian Costina 09bcecb6ed m2k: Simplify DMA connection to HP1 2017-03-15 15:11:30 +02:00
Adrian Costina 2a9b3cea09 m2k: Changed the way DMAs connect to the PS7 DDR, to optimize resources use 2017-03-14 13:57:50 +02:00
Adrian Costina f7c2bd943b m2k: Enable AD9963 adc data path processing.
- part of the path is the sign extension module. Without it, the triggering doesn't work correctly
2017-03-13 23:18:29 +02:00
Rejeesh Kutty c3c8c366d3 axi_ad9361- add receive init delay 2017-03-13 16:28:53 -04:00
Rejeesh Kutty dac75f79ab fmcomms5/usrpe31x- add iodelay report 2017-03-10 13:38:27 -05:00
Rejeesh Kutty 1b3f752c3d pzsdr1/pzsdr2/pluto- add iodelay report 2017-03-10 12:55:22 -05:00
Rejeesh Kutty 0ae79ca7ac move/rename - delay script belongs to ad9361 2017-03-10 12:44:32 -05:00
AndreiGrozav e736504e0f fmcjesdadc1, usdrx1: Using the same clock in rx_data path 2017-03-10 14:26:51 +02:00
AndreiGrozav d08d1d5a1b adrv9371x ,daq3, fmcomms7, fmcomms11: add dac_fifo missing reset connection 2017-03-10 14:20:42 +02:00
Rejeesh Kutty 452e5e5ce0 fmcomms2- add delay reporting for iodelay 2017-03-09 15:29:15 -05:00
Rejeesh Kutty 8bdfbe2b0a fmcomms2- report delays 2017-03-09 15:21:42 -05:00
AndreiGrozav 7e5d8664ad fmcjesdadc1_a5gt: rx_data pins are all associated to the same clock 2017-03-09 08:57:03 +02:00
AndreiGrozav 0e002f2f31 daq3_a10gx: Set XCVR Tx/RX clk/data voltage levels at 1V 2017-03-09 08:50:55 +02:00
Istvan Csomortani 191669ad28 daq2_common: Fix the dac_rst for DAC FIFO 2017-03-07 16:13:46 +02:00
Rejeesh Kutty fc8af6903f pzsdr2/ccfmc- add rf input protection 2017-03-06 16:19:55 -05:00
Rejeesh Kutty 3fa9a30f0e a10soc/plddr4- lower mem clk to meet timing 2017-03-06 14:12:25 -05:00
Rejeesh Kutty 38a27d02f6 a10soc/plddr4- differential refclk 2017-03-06 14:11:36 -05:00
Rejeesh Kutty 936c441763 adrv9371x- dacfifo bypass-gpio control 2017-03-06 10:35:09 -05:00
Rejeesh Kutty 762276a880 adrv9371x- dacfifo changes 2017-03-06 10:33:52 -05:00
Istvan Csomortani 4a6fe54fcf daq2_common: Update common scripts
Add new port connection for util_dacfifo
2017-03-03 18:49:10 +02:00
Rejeesh Kutty ec89b1a45f altera/adrv9371x- add dacfifo 2017-03-01 15:52:07 -05:00
Rejeesh Kutty bc6a09c828 adrv9371x/a10soc- dacfifo added 2017-03-01 15:35:04 -05:00
AndreiGrozav 5b5c0dde99 ad6676evb: Set default xcvr parameters to common design 2017-03-01 11:32:17 +02:00
AndreiGrozav b78e9d8c27 daq2_a10gx: Set XCVR Tx/RX clk/data voltage levels at 1V 2017-03-01 11:32:17 +02:00
AndreiGrozav 0cc5130c9a adrv9371x: Set XCVR Tx/RX clk/data voltage levels at 1V 2017-03-01 11:32:17 +02:00
AndreiGrozav c1be17a3af Altera a10 devices: disable warnings regarding unused channels 2017-03-01 11:32:17 +02:00
AndreiGrozav dc168f41fe adrv9371_a10soc: Fixed port assignments 2017-03-01 11:32:17 +02:00
Rejeesh Kutty aad41039bd a10soc- plddr4 settings 2017-02-28 13:36:28 -05:00
Adrian Costina 59dda01419 m2k: Disabled DDS cores for the generic project 2017-02-28 10:10:28 +02:00
Rejeesh Kutty fb4a583613 projects/system_bd- adc/dac fifo board designs 2017-02-27 16:06:39 -05:00
Rejeesh Kutty 6b1a8852a9 dacfifo- bypass port name change 2017-02-27 16:06:39 -05:00
Rejeesh Kutty 19c7b5d340 fmcadc5- move adc fifo settings to system-board 2017-02-27 16:06:39 -05:00
Rejeesh Kutty c1aac4a9fb common: adc/dac fifo board designs 2017-02-27 16:06:39 -05:00
Adrian Costina 545e458997 m2k: Standalone, ignored critical warning for contraints that should only be applied at the implementation stage 2017-02-27 14:17:29 +02:00
Adrian Costina eda585f0e4 m2k: Connected data[0] and trigger[0] pins to the logic analyzer clock generator input 2 2017-02-27 14:16:32 +02:00
Adrian Costina 908da60ab6 m2k: zed, changed constraints so they are the same with the ZED default configuration
- the voltage can be physically changed between 1.8V, 2.5V and 3.3V
2017-02-27 14:13:34 +02:00
Istvan Csomortani 0059c907ea adrv9371: Drive the TX DMA interface with sys_dma_clk 2017-02-24 15:50:12 +02:00
Istvan Csomortani ac2e5a9dac constraints: Update constraints
Xilinx recommends that all synchronizer flip-flops have
their ASYNC_REG property set to true in order to preserve the
synchronizer cells through any logic optimization during synthesis
and implementation.
2017-02-24 13:43:32 +02:00
Istvan Csomortani 1fce57f6c3 axi_dacfifo: Redesign the bypass functionality 2017-02-23 17:32:31 +02:00
Rejeesh Kutty c598e84258 remove processing order (no clock def dependency) 2017-02-22 16:02:08 -05:00
Rejeesh Kutty edd5e9570f file renamed; sed output; fingers crossed 2017-02-22 15:56:37 -05:00
Rejeesh Kutty b00dc4b195 plddr3- change to board files 2017-02-22 15:22:50 -05:00
Rejeesh Kutty 89b49d2f67 fifo- as board files 2017-02-22 15:18:50 -05:00
Rejeesh Kutty 879ed64bb6 compression flag changes 2017-02-22 15:15:53 -05:00
Rejeesh Kutty 8a5e2ff46e sys_wfifo- removed 2017-02-22 15:13:18 -05:00
Rejeesh Kutty 754ac6a403 w/r-fifo- removed 2017-02-22 15:10:06 -05:00
Adrian Costina 040b61de60 fmcadc5: Updated default parameters 2017-02-20 17:13:58 +02:00
Rejeesh Kutty a15e05c497 adcfifo- remove axi-byte-width parameter 2017-02-17 15:29:10 -05:00
Rejeesh Kutty cb3d1883bc fmcjesdadc1/a5gt- hard placement of ddr hr/qr registers 2017-02-17 15:21:33 -05:00
Adrian Costina e8bcbb74da scripts: fixed tcl syntax for altera projects not meeting timing 2017-02-16 21:21:51 +02:00
Istvan Csomortani 95a4ea20c8 axi_dacfifo: Delete redundant parameter BYPASS_EN 2017-02-16 19:53:44 +02:00
Adrian Costina 8453d758c2 scripts: If an altera project doesn't meet timing, rename the sof 2017-02-16 19:20:49 +02:00
Istvan Csomortani 343d0472d4 fmcadc2: Move GT setting to common/system_bd.tcl 2017-02-16 14:56:25 +02:00
Istvan Csomortani 07184b31d2 fmcadc2: Define default clock selection for Xilinx GTs 2017-02-16 12:35:24 +02:00
Adrian Costina 86c279c238 pzsdr1: ccbox, moved I2S core to DMA0 and DMA1 to fix critical warnings 2017-02-14 14:51:49 +02:00
Adrian Costina 46290193f3 pzsdr2: ccusb, renamed clk_out to clkout_in 2017-02-14 11:58:11 +02:00
Adrian Costina 27119343f2 pzsdr2: ccusb, connect unused clock pins to GND 2017-02-14 11:56:54 +02:00
Adrian Costina fa37f4dd0a pzsdr2: Don't set a disabled parameter 2017-02-14 11:56:08 +02:00
Adrian Costina 6a9b7580de pzsdr1: ccusb, renamed clk_out to clkout_in 2017-02-14 11:54:46 +02:00
Adrian Costina acef0113d1 pzsdr1: ccusb, connect unused clock pins to GND 2017-02-14 11:50:37 +02:00
Adrian Costina 46883731eb pzsdr1: Don't set a disabled parameter 2017-02-14 11:50:06 +02:00
Adrian Costina a569b6bf0c pluto: Interpolation, connect fifo_rd_valid to s_axis_data_tvalid 2017-02-13 18:08:52 +02:00
Adrian Costina e215a091b2 m2k: standalone, added explicit fclk_clk0 and fclk_clk1 constraints 2017-02-13 12:02:59 +02:00
Adrian Costina 4e62fb0ef3 m2k: Add reset circuitry on the logic_analyzer clock domain 2017-02-13 12:02:11 +02:00
Istvan Csomortani 5fa6dba333 Make: Update Makefiles 2017-02-10 16:32:58 +02:00
Istvan Csomortani f5f1f47691 ad9467_fmc: Delete asynchronous clock group definition
This is a very bad way to handle timing. All the false path
should be defined explicitly, rather than define asynchronous clock
domains.
2017-02-10 16:21:35 +02:00
Rejeesh Kutty c39ed08edd zcu102/*- actual clock == desired clock 2017-02-06 12:53:47 -05:00
Rejeesh Kutty 58872aa3ef fmcomms2/zc706pr- prcfg is a single clock synchronous design 2017-02-06 10:59:18 -05:00
AndreiGrozav 971bcbb0fc fmcomms1: Remove project 2017-02-03 16:42:44 +02:00
Rejeesh Kutty 096274a033 daq2/zcu102- fix refclock pin swap 2017-02-03 09:26:07 -05:00
Rejeesh Kutty 7c363cd5a7 daq3/a10gx/system_constr.sdc- fix typo 2017-02-03 09:26:07 -05:00
Rejeesh Kutty 35f660fe06 fmcjesdadc1/vc707- constraint clean-up 2017-02-02 15:05:49 -05:00
Rejeesh Kutty d46352928a fmcomms5- fix ovf net connections 2017-02-02 14:24:06 -05:00
Adrian Costina 6aadb49e80 m2k: Remove use board flow from the standalone version 2017-02-02 12:58:58 +02:00
Adrian Costina 0d0c3e99fd m2k: Added I2C pull-ul, removed SLEW constraints 2017-02-02 12:35:46 +02:00
Rejeesh Kutty 85ff496c12 daq2/a10gx- gpio match with others 2017-02-01 20:54:56 -05:00
Adrian Costina 5155b3f46d m2k: Fix gpio buswidth 2017-02-01 17:43:01 +02:00
Adrian Costina cfff70d358 M2K: Update standalone project
- configured PS7 similar to pluto. Added specific constraints instead of default PS7
- moved ad9963_resetn and en_power_analog to gpio[0] and gpio[1]
2017-02-01 14:27:11 +02:00
Adrian Costina 6bdd853b88 m2k: Updated PS7 configuration 2017-01-31 23:08:53 +02:00
Adrian Costina b14d740f87 M2K: initial commit 2017-01-31 16:43:40 +02:00
Istvan Csomortani d5af828b9c Merge branch 'dev' into hdl_2016_r2 2017-01-30 17:10:05 +02:00
Rejeesh Kutty 97d72d2f65 a10gx- xilinx/altera sync-up 2017-01-30 10:01:28 -05:00
Rejeesh Kutty b14e7fe4ee daq3/kcu105- 1.25GSPS 2017-01-30 10:01:28 -05:00
rejeesh kutty 48ad24cdbe enable partial reconfiguration mode 2017-01-27 09:26:53 -05:00
Rejeesh Kutty be1328c55b kcu105- added missing ethernet configurations 2017-01-23 10:14:09 -05:00
Rejeesh Kutty 661413627f daq3- round about way to avoid ip getting locked 2017-01-20 15:55:33 -05:00
Istvan Csomortani 62792ddaed adrv9371x: Change the axi_adxcvr cores addresses
Because the S_AXI interface of the axi_adxcvr core was infered
using the process adi_ip_properties, the interface address range
has changed from 4k to 64k. As a result, all the addresses of
the axi_adxcvr cores were changed and realigned.
2017-01-19 15:23:03 +02:00
Adrian Costina ecd152c90d pzsdr1: ccbrk_cmos, fix clkdiv parameters 2017-01-18 12:04:04 +02:00
Adrian Costina 165ba76d9d pzsdr1: Added FIFOs for DAC and ADC paths so that they work at l_clk or l_clk/2 2017-01-18 12:01:24 +02:00
Adrian Costina 319a883c00 pzsdr2: Added FIFOs for DAC and ADC paths so that they work at l_clk/2 or l_clk/4 2017-01-18 12:00:10 +02:00
Adrian Costina 9344dd34dc zcu102: Update project to include clkdiv 2017-01-16 14:47:31 +02:00
Adrian Costina 4dcad7e116 fmcomms2: zcu102, update clkdiv device parameter 2017-01-16 14:38:37 +02:00
Nick Pillitteri b622b6592e FMCOMMS5/ZCU102 : Merge from njpillitteri/hdl:dev
Pull request Dev #26
2017-01-13 14:47:16 +02:00
Adrian Costina d2e7b6b635 fmcomms5: Added FIFOs for DAC and ADC paths so that they work at l_clk/2 or l_clk/4 2017-01-13 14:18:59 +02:00
Adrian Costina a36057679a fmcomms2: Update Makefiles 2017-01-13 14:16:21 +02:00
Adrian Costina 15c5bc7012 fmcomms2: zcu102, changed clkdiv C_SIM_DEVICE parameter to ultrascale 2017-01-13 13:57:32 +02:00
Adrian Costina b84325d43f fmcomms2: take into consideration both adc_r1 and dac_r1 for clock division selection 2017-01-13 13:56:04 +02:00
Istvan Csomortani f003b5b35a fmcjesdadc1: Reduce SYSREF period 2017-01-12 16:10:45 +02:00
Adrian Costina e77428c50e fmcomms2: Added FIFOs for DAC and ADC paths so that the path works at l_clk / 2 or l_clk /4
- removed ILA
2017-01-11 18:12:35 +02:00
Rejeesh Kutty 37d54bb984 fmcjesdadc1/a5gt- max delay fit only 2017-01-04 16:04:19 -05:00
Rejeesh Kutty 8b74e911b8 fmcjesdadc1/a5gt- qr to ddio max delay 2017-01-04 14:10:44 -05:00
Istvan Csomortani e4e5b30ade fmcadc5: Integrate ad_sysref_gen into the project 2017-01-03 13:52:39 +02:00
Rejeesh Kutty 14ded4f123 fmcjeadadc1/a5soc- ad_sysref_gen updates 2016-12-22 15:59:45 -05:00
Rejeesh Kutty b089173b4c fmcjesdadc1/a5soc- cpu clock is 50m for a5gt also 2016-12-22 14:14:21 -05:00
Rejeesh Kutty aa6c94c993 usdrx1/a5gt: ddr3 use ip constraints 2016-12-22 14:14:21 -05:00
Rejeesh Kutty 18660c7ab4 fmcjesdadc1/a5gt: ddr3 use ip constraints 2016-12-22 14:14:21 -05:00
Rejeesh Kutty 2bea337aa2 fmcjesdadc1/a5gt- use 50m-mem-cpu-clk 2016-12-22 14:14:21 -05:00
Rejeesh Kutty 5d683943ab fmcjesdadc1/a5gt- remove ad-sysref-gen-pack 2016-12-22 14:14:21 -05:00
Rejeesh Kutty f1168f9e29 fmcjesdadc1/a5gt- use xilinx setup 2-dma 2016-12-22 14:14:21 -05:00
Rejeesh Kutty 1ceec2e2a9 projects/a5gt- use 50m afi clock for cpu- xcvr reconfig timing 2016-12-22 14:14:21 -05:00
Rejeesh Kutty eba30b0cde projects/altera- qii_auto_pack option 2016-12-22 14:14:21 -05:00
Rejeesh Kutty 4a783d523d projects/altera* - default & common qsys commands 2016-12-20 16:27:44 -05:00
Rejeesh Kutty 3e57ff1fc5 z-mpsoc- map 0x4-0x8,0x7-0x9 2016-12-20 16:14:38 -05:00
Istvan Csomortani 1156aeac16 ad_sysref_gen: Update SYSREF related constraints 2016-12-19 18:07:05 +02:00
Istvan Csomortani da7f4608a8 fmcjesdadc1/usdrx1: Clean up the mess
Delete accidentally commited generated files.
2016-12-19 15:35:20 +00:00
Istvan Csomortani f47863bbcf usdrx1: Integrate ad_syref_gen into the project 2016-12-19 14:36:01 +00:00
Istvan Csomortani 8d799d0316 fmcjesdadc1: Intergrate ad_sysref_gen into project 2016-12-19 13:37:29 +00:00
Istvan Csomortani 0c42e04bc3 fmcadc2: Integrate ad_sysref_gen into the project 2016-12-19 12:16:05 +00:00
Istvan Csomortani 67390c2a95 ad6676evb: Update projects with ad_sysref_gen 2016-12-19 10:52:25 +00:00
Adrian Costina 8879218502 a5gte: Fixed timing violations 2016-12-16 15:37:51 +02:00
Istvan Csomortani c0b0f9b7e9 ad6676evb: Connect SYS_REF to GPIO 2016-12-14 17:55:50 +02:00
Istvan Csomortani 557efed5d9 ad6676evb: Update clock constraints 2016-12-14 17:55:49 +02:00
Istvan Csomortani 3a2c889115 ad6676evb: Update GT configuration 2016-12-14 17:55:49 +02:00
AndreiGrozav d962614000 usdrx1/zc706: Disabele axi_spi constraint file
The interface ports of the AXI SPI IP are not connected
  directly to a IOBUF, this results in a CRITICAL WARNING
2016-12-13 19:23:51 +02:00
AndreiGrozav d5165ca81f motcon_fmc: Tie unused pins to GND 2016-12-13 19:20:13 +02:00
AndreiGrozav 1515b6f1af fmcomms7/zc706: Disabele axi_spi constraint file
The interface ports of the AXI SPI IP are not connected
  directly to a IOBUF, this results in a CRITICAL WARNING
2016-12-13 19:18:18 +02:00
AndreiGrozav 8846141467 fmcomms1/kc705: Disabele axi_spi constraint file
The interface ports of the AXI SPI IP are not connected
  directly to a IOBUF, this results in a CRITICAL WARNING
2016-12-13 19:16:31 +02:00
AndreiGrozav c455d2d64f fmcadc2/vc707: Disabele axi_spi constraint file
The interface ports of the AXI SPI IP are not connected
  directly to a IOBUF, this results in a CRITICAL WARNING
2016-12-13 19:15:44 +02:00
Adrian Costina 8ebc8fe4e2 updated makefiles 2016-12-09 23:06:41 +02:00
Istvan 06aab8ebbd pzsdr1: Set the device core to 1R1T mode 2016-12-09 16:35:46 +02:00
AndreiGrozav 8e69c838e1 common/ac701: Connect axi_ddr_cntrl/device_temp_i to GND 2016-12-09 13:54:39 +02:00