Commit Graph

6421 Commits (cde99569484925abae5e4304b8c830fbb5cef187)

Author SHA1 Message Date
AndreiGrozav cde9956948 ad4858_fmcz: Initial design
Reference design for AD4858 20-bit, low noise 8-channel, SAR ADC with
buffered differential, wide common range picoamp inputs.

The design supports:
- CMOS and LVDS interfaces(at build time)
- Runtime sampling changes
- Store captured samples in RAM, through DMA (available via software support)

Documentation at: https://wiki.analog.com/resources/eval/user-guides/ad4858_fmcz/ad4858_fmcz_hdl
2023-10-05 10:19:03 +03:00
AndreiGrozav f8ee407f34 axi_ad4858: Initial commit
The axi_ad4858 IP core is design as the HDL interface for the AD4858 ADC.
Features:
 - AXI based configuration
 - LVDS and CMOS support
 - Configurable number of active data lines (CMOS - build-time configurable)
 - Oversampling support
 - Supports packet formats 0,1,2 or 3
 - CRC check support
 - Real-time data header access
 - Channel based raw data access(0x0408)
 - Xilinx devices compatible

Documentation at https://wiki.analog.com/resources/fpga/docs/axi_ad4858
2023-10-05 10:19:03 +03:00
AndreiGrozav 6128dd1ab5 up_dac_channel: Cosmetics - fix indentation 2023-10-02 11:14:57 +03:00
PopPaul2021 c29c092bdc projects/ad3552r_evb: Added project for AD3552R-EVB on ZedBoard.
The project controls the AD3552R digital-to-analog converter and transmits data written in the DDR memory to the QSPI interface of the DAC.
The reference clock is generated by an axi_clkgen IP and is configured to output a 133MHz signal.
If both channels are enabled and data streaming is DDR the sample rate is 16.65MSPS.
If just one channel is enabled and data streaming is DDR the sample rate is 33.3MSPS.
The VADJ voltage should be set to 1.8V.

Signed-off-by: PopPaul2021 <Paul.Pop@analog.com>
2023-10-02 11:07:08 +03:00
PopPaul2021 cd33c99b94 library/axi_ad3552r: Added interface IP for Xilinx projects.
The custom interface IP for AD3552R DAC has more operation capabilities:
  - 8b register read/write SDR/DDR
  - 16b register read/write SDR/DDR
  - data stream SDR/DDR ( clk_in/8 or clk_in/4 update rate)
  - selectable input source : DMA/ADC/TEST_RAMP
  - data out clock(SCLK) has clk_in/8 frequency when the converter is configured and clk_in/2 when the converter is in stream mode
  - the IP reference clock (clk_in) can have a maximum frequency of 132MHz
  - the IP has multiple device synchronization capability when the DMA is set as an input data source

Signed-off-by: PopPaul2021 <Paul.Pop@analog.com>
2023-10-02 11:07:08 +03:00
PopPaul2021 86836f5a40 library/common: Added DAC custom read/write interface in up_dac_common.
The DAC common regmap was updated with 3 registers(rd/wr/ctrl) and 1 interface status flag for converters with custom control interface.
2023-10-02 11:07:08 +03:00
Jem Geronimo 4abb8b3b97 dc2677a: add initial design
Signed-off-by: Jem Geronimo <Johnerasmusmari.Geronimo@analog.com>
2023-10-02 15:10:04 +08:00
Jem Geronimo 32e29ad753 axi_ltc235x: Add initial design
Signed-off-by: Jem Geronimo <Johnerasmusmari.Geronimo@analog.com>
2023-10-02 15:10:04 +08:00
Iulia Moldovan c3aa014105 data_offload: Fix error regarding invalid value for param MEM_TYPE
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2023-09-29 14:57:03 +03:00
Iulia Moldovan 73a45c83c7 scripts/adi_env.tcl: Update to Vivado 2023.1
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2023-09-29 14:57:03 +03:00
Cristian Mihai Popa 0baf3a7c4f docs/regmap/adi_regmap_dac.txt : Updated and added some registers
-Updated description of some fields of these registers: REG_CHAN_CNTRL_1,
REG_CHAN_CNTRL_2, REG_CHAN_CNTRL_3, REG_CHAN_CNTRL_4, REG_USR_CNTRL_4,
and REG_USR_CNTRL_5
-Added two new registers, both with their own fields and description:
REG_CHAN_CNTRL_9 and REG_CHAN_CNTRL_10

Signed-off-by: Cristian Mihai Popa <cristianmihai.popa@analog.com>
2023-09-29 10:12:43 +03:00
AndreiGrozav 8b07dfa033 jupiter_sdr: USB power delivery always on 2023-09-29 10:11:49 +03:00
AndreiGrozav 0b61df7847 jupiter_sdr: Change the SD ctrl config to autodir 2023-09-29 10:11:49 +03:00
AndreiGrozav 25aa1081aa jupiter_sdr: PL sysmon updates
Monitor VCC through VUSER1.
Disconnect the default redundant monitors.
Connect the pl_sysmon interrupt.
2023-09-29 10:11:49 +03:00
AndreiGrozav 385e135561 axi_adrv9001: Change the DDS sync structure
The DDS for each channel was synchronized by the main channel.
One problem with this aporoach is that when a user sets a DDS that
is not from the main channel the sinchronization does not happend.
This behavior is not user friendly in IIO-Oscilloscope or within other
configuration methods.

This commit keeps all channels in sync by triggering the sync on all
channels from each individual channel.
2023-09-29 10:11:49 +03:00
Jorge Marques 303b3a0eeb docs: add check for signals/bus
Signals/buses declared in the docs that does not exist in the
components.xml files will raise a warning.

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2023-09-27 14:36:34 -03:00
Jorge Marques 55d4215f45 README.md: header, docs info; docs: license, fixes
Add documentation info to the README.md
At adi_hdl_parser.py, filter "_signal_clock" and "_signal_reset"
pseudo buses from component.xml files, append them as description
in the ports table, in the format
"{Bus} [...] is synchronous to this {domain}".
Also, adds collapsible directive

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2023-09-27 14:36:34 -03:00
Jorge Marques 58df312e8b docs: move guidelines, porting project main, repos git roles
Moves guidelines to user_guide as docs_guidelines.
Includes Porting HDL project user guide.
Replaces the Excel spreadsheet with raw space divided files.
Includes the 6 pinned at the org.
Contributors shall expand the list as needed.

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2023-09-27 14:36:34 -03:00
Jorge Marques 468d02ea50 docs: update link roles, .gitignore
Update link roles to use the "text <link>" standard sphinx syntax.
Add __pycache__ and _build to .gitignore

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2023-09-27 14:36:34 -03:00
Jorge Marques 0597373d62 docs: review fixes
Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2023-09-27 14:36:34 -03:00
Jorge Marques cf056cf81c docs: add regmap directive
Automate table generation for register maps.
Based on tfcollins' vger python scripts.
There are docs/adi_regmap_*.txt with more than one regmap per file,
so the logic changed to allow that.
Using title tool as the unique identifier now.
It has a global option to set the default state (hidden or visible)
for the collpasible tables.
Also remove CSVs.

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2023-09-27 14:36:34 -03:00
Jorge Marques 83d2bf9603 docs: automate parameters and interfaces tables
Uses Vivado generated components.xml files.
If the file is not found/generated, there is a fallback method.
Also, define bibliography per project, not globally.

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2023-09-27 14:36:34 -03:00
Jorge Marques ef9c98f9b9 docs: Include sphinx documentation
The parameters directive allows to almost automatically generate the
parameters table.
It allows to add rich descriptions to the parameters, such as references,
while checking if they exist in the *_hw.tcl file a obtaining the types
and default values.
However, it cannot obtain parameters generated from a foreach loop yet,
making it incompatible with the axi_dmac_hw.tcl file for example.
This commit also joins the other extensions into a single adi_links
extension.

Signed-off-by: Jorge Marques <jorge.marques@analog.com>
2023-09-27 14:36:34 -03:00
AndreiGrozav 9f824554aa up_dac_channel: Cosmetic additions 2023-09-26 18:39:28 +03:00
AndreiGrozav a4add963d4 ad9361: Add support for config DDS phase resolution 2023-09-26 18:39:28 +03:00
AndreiGrozav 92be583369 ad_ip_jesd204_tpl_dac: Increase DDS phase DW support
Allow upto 32 bit phase data width support.
2023-09-26 18:39:28 +03:00
AndreiGrozav 782b27e894 DAC DDS: Add support for DDS phase width > 16
Add support for DDS phase width greather than 16.
The software should read the DDS phase data width register and configure
the DDS init and increment registers accordingly, otherwise the obtained
DDS output frequency will not be the desired one for DDS phase width
different than 16.

DDS_incr = (f_out * 2^(phase_width) * clkratio)/f_if
2023-09-26 18:39:28 +03:00
PopPaul2021 f5184b4e14 projects/cn0501: Removed CN0501 project.
The CN0501 project was removed because the board development was
canceled.

Signed-off-by: PopPaul2021 <Paul.Pop@analog.com>
2023-09-21 09:00:57 +03:00
Jem Geronimo 91ec36f417
projects/scripts/project-intel.mk: change 'system_top.v' to '$(wildcard system_top*.v)' (#1169)
Change necessary to build intel projects with different system_top verilog files.
This was patterned to ae09b8a1bb/projects/scripts/project-xilinx.mk (L70)

Signed-off-by: Jem Geronimo <Johnerasmusmari.Geronimo@analog.com>
2023-09-07 15:52:04 +08:00
Iulia Moldovan 8668c52fe7 LICENSE_ADIBSD: Add short identifier
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2023-09-07 10:45:49 +03:00
Iulia Moldovan ff7b8ef6ae Add LICENSE_ADIJESD204. Delete jesd204/README.md
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2023-09-07 10:45:49 +03:00
Iulia Moldovan 860010e050 library/common/tb/tb_base.v: Update license header
* Removed the commercial JESD license and put the ADIBSD or GPL v2 like
   for other Verilog files

Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2023-09-07 10:45:49 +03:00
Iulia Moldovan 0590a4046c Add copyright & license for all files needing ADI JESD specific license
* Added every year when the file was edited, with comma
 * Range if it's consecutive years

Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2023-09-07 10:45:49 +03:00
AndreiGrozav 49cf0f7ae3 project-xilinx: Update the generic dependency list
The generic project dependency list contains:
system_top*.v
system_bd.tcl
system_project.tcl
system_constr.xdc
This items will not be included in the auto generated makefiles. But
used as generic dependency.

This commit adds:
-wildcard check of system_constr*.xdc.
-wildcard check of system_constr*.tcl.
2023-09-07 10:44:10 +03:00
AndreiGrozav aa11f4311c xilinx/ad_data_in.v: Add SDR support 2023-09-07 10:43:29 +03:00
alin724 c8a131ec0a ad7606x: Add dynamic configuration for AD7606X operation modes
AD7606x operation mode configuration:
REG_CNTRL_3
bit 8 - 'b1 - set operation mode indicated in bits [7:0];
bit [7:0] - set desired operation mode: 0 - SIMPLE, 1 - CRC, 2 - STATUS_HEADER, 3 - CRC_STATUS
2023-09-06 17:09:22 +03:00
ladace ae09b8a1bb
cn0561: Fixed critical warning during make (#1159)
The busy_sync pulse was replaced by an axi pwm generator IP, so the
constraint in xdc file is no longer needed.
2023-08-22 12:17:17 +03:00
AndrDragomir e42877d337 scripts/adi_fmc_constr_generator: Fix intel constr generation
Signed-off-by: AndrDragomir <andrei.dragomir@analog.com>
2023-08-14 18:05:02 +03:00
AndrDragomir fa2d520bf0 ad9213_evb: Add design
Signed-off-by: AndrDragomir <andrei.dragomir@analog.com>
2023-08-14 17:58:37 +03:00
Filip Gherman fc2437a83a ad9213_evb: Initial commit
Signed-off-by: AndrDragomir <andrei.dragomir@analog.com>
2023-08-14 17:58:37 +03:00
Iulia Moldovan cfb795f5f5 .gitignore: Ignore CODEOWNERS and PR template
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2023-08-11 11:57:20 +03:00
Stefan Raus 9c446a16d0 Add CODEOWNERS and PULL_REQUEST_TEMPLATE files
Add files CODEOWNERS, filled with owners per each hdl project and some IPs.
Specific code owners will be automatically added as reviewers, whenever new
PRs are opened, depending on affected folders/files from repo.
CODEOWNERS file can be different on each branch.

PULL_REQUEST_TEMPLATE.md content will populate all new PR description field.
Beside PR description, it contains a list of checkboxes, ensuring all
team members follow the same guidelines and enforce best practices.
PR Template applies for PRs against repository's default branch.

Both files were added in .github

Signed-off-by: Stefan Raus <Stefan.Raus@analog.com>
2023-08-09 18:08:24 +03:00
Iulia Moldovan 5c9b271f3a Fix error regarding hierarchy that Vivado misses
* Solution from here: https://support.xilinx.com/s/article/69320?language=en_US
 * Added in:
  * util_cdc
  * util_cic
  * jesd204_rx/tx
  * util_upack2
  * axi_jesd204_common: used in axi_jesd204_rx/tx
  * axi_jesd204_rx/tx
  * jesd_common

Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2023-08-01 18:12:40 +03:00
laurentiu_popa 8d0b6ba486 projects: Update incomplete/inaccurate readmes
Signed-off-by: laurentiu_popa <Laurentiu.Popa@analog.com>
2023-07-31 09:35:39 +03:00
laurent-19 b03d985e9d projects: Update readmes all projects initial version
Signed-off-by: laurent-19 <laurentiu.popa@analog.com>
2023-07-31 09:35:39 +03:00
laurentiu_popa e8b583802a projects: Update readmes initial commit
Signed-off-by: laurentiu_popa <Laurentiu.Popa@analog.com>
2023-07-31 09:35:39 +03:00
Alin-Tudor Sferle ea29a37eae adi_xilinx_device_info: Update speed_grade_list 2023-07-25 19:49:33 +03:00
Iulia Moldovan c9a7d4d927 Add copyright and license to .tcl, .ttcl files
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2023-07-25 15:22:26 +03:00
Iulia Moldovan 1cac2d82e1 Add copyright and license to .xdc files
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2023-07-25 11:03:02 +03:00
Iulia Moldovan 27bb69b44c Add copyright and license to .sdc files
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
2023-07-25 10:41:40 +03:00