Commit Graph

59 Commits (cde99569484925abae5e4304b8c830fbb5cef187)

Author SHA1 Message Date
Rejeesh Kutty 01d0b495ec hdmi_rx: 64bit + es split 2015-04-01 14:25:45 -04:00
Rejeesh Kutty d4763fe356 hdmi_rx: 64bit + es split 2015-04-01 14:25:41 -04:00
Istvan Csomortani e116822059 imageon_zc706: Updates and fixes
+ sync the sof to the dma_de signal
+ hdmi_rx_dma is connected to the HP1
+ fix syncronization signal in the CSC module
+ hdmi_rx_clk is asynchronous
2015-03-27 18:57:32 +02:00
Rejeesh Kutty ffe410b2dd hdmi_rx: imageon updates 2015-03-24 15:08:48 -04:00
Rejeesh Kutty 09bb184505 hdmi_rx: imageon updates 2015-03-24 15:08:48 -04:00
Rejeesh Kutty f92011f72d hdmi_rx: imageon updates 2015-03-24 15:08:48 -04:00
Rejeesh Kutty 5d50d38c66 hdmi_rx: imageon updates 2015-03-24 15:08:48 -04:00
Istvan Csomortani 80c2a5a45d axi_hdmi_rx: General clean up 2015-03-23 12:39:26 +02:00
Istvan Csomortani 14df46c193 library: Initial commit of axi_hdmi_rx ip core
Status unknown, NOT tested.
2015-01-08 16:58:56 +02:00