Commit Graph

10 Commits (d7e87a60a958deae33fc2577932c52735081e2b7)

Author SHA1 Message Date
Lars-Peter Clausen 07217740b5 imageon: Increase HDMI RX clock constraint
The ADV7611 is rated for a maximum clock rate of 165MHz. Increase the clock rate constraint to match this.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2016-11-29 14:12:39 +01:00
Adrian Costina b3d3876dc5 imageon: ZC706, updated system_top to remove part of the Warnings.
- constraints fixed so Vivado doesn't issue a Warning
2016-10-10 17:33:42 +03:00
Rejeesh Kutty bfeebc2791 imageon/zc706- remove onboard hdmi 2016-06-01 13:59:13 -04:00
Istvan Csomortani 8c98399c37 imageon_ZC706: Add axi_spdif_rx core to the design 2015-07-03 17:48:29 +03:00
Lars-Peter Clausen eb3a0c179b imageon: Put HDMI input/output FF into the IOB
This gives us predictable delays as well as very small skew between the induvidual data lines.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2015-07-02 18:33:32 +02:00
Istvan Csomortani 98c2deaf26 imageon_zc706: Update project
+ Connect the Rx path to HP2
+ Enable AXI_SLICE_DEST
+ Add ILA to the dma_fifo and dma_axi_s2mm interface
+ Fix constraints
2015-04-01 18:50:18 +03:00
Istvan Csomortani e116822059 imageon_zc706: Updates and fixes
+ sync the sof to the dma_de signal
+ hdmi_rx_dma is connected to the HP1
+ fix syncronization signal in the CSC module
+ hdmi_rx_clk is asynchronous
2015-03-27 18:57:32 +02:00
Rejeesh Kutty 0085b8a712 imageon: updates 2015-03-24 15:08:48 -04:00
Istvan Csomortani 43673f6b9d imageon_zc706: Update the project to the new framework 2015-03-23 12:45:34 +02:00
Istvan Csomortani a170ebfb82 imageon: Initial commit
Initial commit of the IMAGEON project for ZC706. NOT tested.
2015-01-08 17:01:22 +02:00