Commit Graph

5704 Commits (dee108ba224c007f784a011c0d4189ab0d4e9863)

Author SHA1 Message Date
Lars-Peter Clausen b029d07adf common: Connect audio clkgen reset
While we are at it also hide the unused locked pin.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-10-06 16:17:33 +02:00
Lars-Peter Clausen 54053e0d87 common: Set cpu interconnect strategy to minimize area
There will rarely be concurrent access to the peripheral control bus
interconnect, so there is no need to optimize for performace. Setting the
interconnect strategy to minimize area can reduce the resource usage by
~90%.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-10-06 16:17:33 +02:00
Lars-Peter Clausen 1defad36b8 fmcomms1: Connect DMA controller directly to the HP ports
The AXI DMAC controller nativly supports AXI3, there is no need to insert a interconnect to do protocol conversion.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-10-06 16:17:33 +02:00
Rejeesh Kutty 210da4116f scripts: initial commit 2014-10-03 16:13:34 -04:00
Adrian Costina 581892b22a axi_ad9265: Updated project with new up independent read/write 2014-10-03 12:32:08 +03:00
Rejeesh Kutty de33722470 up/constr: independent read/write and local constraints 2014-10-02 14:35:59 -04:00
Istvan Csomortani 801f12f373 ad9467: Fix LVDS delay interface. 2014-10-02 11:34:37 +03:00
Rejeesh Kutty f0927afd0b ad9625_fmc: add dma fifo for non-zynq 2014-10-01 14:51:14 -04:00
Lars-Peter Clausen 68c0c72e53 util_dac_unpack: Fix unpack order with 1 channel
Due to the delay between the dac_valid and the fifo_valid signal we need to
have two counters. One counter which counts the number of incoming
dac_valid signals and generates the dma_rd signal and one counter for the
offset which gets set to 0 when fifo_valid is set.

This fixes issues with the unpack order when only one channel is active.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 18:48:04 +02:00
Lars-Peter Clausen 98dd47e783 axi_spdif: Add missing signals to the regmap read sensitifity list
Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:51 +02:00
Lars-Peter Clausen f60e112b50 axi_spdif: Don't use non-static expressions in port assignments
Fixes a warning from the tools.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:51 +02:00
Lars-Peter Clausen 8a994db28b axi_spdif: Set unused signals to 0
Fixes warnings about undriven signals from the tools.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:51 +02:00
Lars-Peter Clausen 96339ba96f axi_i2s: Add missing signals to the regmap read process sensitivity list
Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:51 +02:00
Lars-Peter Clausen 32dd1d1a4a axi_i2s: Set unused signals to 0
Fixes warnings from the tools about undriven signals.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:50 +02:00
Lars-Peter Clausen e5a9633f84 axi_dmac: Add default driver values for optional input ports
This silences warnings from the tools about undriven ports.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:50 +02:00
Lars-Peter Clausen 9e7eb81b76 axi_dmac: Hide fifo_wr_sync signal if C_SYNC_TRANSFER_START != 1
The fifo_wr_sync signal is only used when C_SYNC_TRANSFER_START = 1, so hide it otherwise.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:50 +02:00
Lars-Peter Clausen 77cbf26241 axi_dmac: Hide fifo_wr bus when source type is not the fifo interface
Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:50 +02:00
Lars-Peter Clausen ff2e102182 axi_dmac: Add clock signal spec for m_axis/s_axis bus
This silences warnings from the tools about having no clock assigned to the bus.
Also fix the name of the TVALID signal.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:50 +02:00
Lars-Peter Clausen fd6b3b5427 fmcomms2: Connect DMA directly to the HP ports
The DMA controller is able to send AXI3 compatible requests, no need to add
a interconnect for protocol conversion in between the DMA controller and the
HP port.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:50 +02:00
Lars-Peter Clausen e1451d8b7e fmcomms2: Set dac_unpack channels to 4
There are only 4 DAC channels in the fmcomms2 design, so set the number of
channels of the dac_unpack core to 4. This slightly reduces resource usage
as well as reducing the DMA alignment requirement from 128bit to 64bit.  The
later value is what existing applications expect the alignement requirement
to be.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:50 +02:00
Lars-Peter Clausen 3944af4179 axi_dmac: Drive unused signals to 0
This silences a few warnings from the tools about undriven signals.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:50 +02:00
Lars-Peter Clausen d32db3a993 axi_dmac: Fix dummy AXI a{r,w}len fields width
The dummy a{r,w}len fields should have the same width as the real a{w,r}len
fields in order to not break auto AXI bus version detection.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:49 +02:00
Lars-Peter Clausen f83fd6dae3 util_dac_unpack: Hide unused signals
Hide unused signals based on the number of selected channels. This silences
a few warnings from the tools about unconnected pins.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:49 +02:00
Lars-Peter Clausen 48921bc872 util_adc_pack: Hide unused signals
Hide unused signals based on the number of selected channels. This silences
a few warnings from the tools about unconnected pins.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:49 +02:00
Lars-Peter Clausen 8fa4b0c56d util_dac_unpack: Don't use localparam symbols in input/output signals
When using a localparam for the width of a input/output signal the tools
won't be able to infer the size of the signal. This results in the signal
always being only 1 bit wide which causes the design to not work.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:49 +02:00
Lars-Peter Clausen 50c434044e util_dac_unpack: Drive unused ports to 0
Silences a few warnings about undriven ports from the tools.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:49 +02:00
Lars-Peter Clausen f7ad7e7ab2 common: Disable TTC0 MMIO routing for PS7
We do not use the ttc0 to MMIO routing, but it is enabled by default, so
explicitly disable it.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-30 13:18:49 +02:00
Adrian Costina 89964be59e fmcomms1: Updated project to vivado 2014.2 2014-09-30 10:32:18 +03:00
Adrian Costina 041d8faaf7 common: Updated common projects for ac701/kc702/zc702/zed to vivado 2014.2 2014-09-30 10:31:00 +03:00
Rejeesh Kutty 922bc6f03a fmcadc3: 16bit - but ignored 4 lsb(s) 2014-09-29 15:26:30 -04:00
Lars-Peter Clausen 6a08f26905 axi_i2s/axi_spdif: Create clock and reset interface for DMA bus
This avoids some critical warnings from Vivado that the DMA bus does not has any associated clocks.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-29 15:53:32 +02:00
Lars-Peter Clausen 7682400a28 scripts/adi_ip: Add helper function to create bus clock and reset interface
Add a helper function that can be used to register a clock and a reset interface for the clock and reset signals of a bus.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-29 15:53:32 +02:00
Lars-Peter Clausen 40cbabf573 axi_i2s/axi_spdif: Remove manual creation of Streaming AXI bus
It looks like Vivado is now able to infer these buses from the sources.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2014-09-29 15:53:32 +02:00
Istvan Csomortani 74e6e3df0f ad9467 ZED: Fix over range signal path, and the dma interface. 2014-09-29 12:56:09 +03:00
Istvan Csomortani 889a6565ea ad9467 ZED: Cosmetic changes on bd script. 2014-09-29 12:51:46 +03:00
Adrian Costina 3c25c1171d fmcomms1: Fixed missing sample issue on ZC706. Added modifications for all other platforms 2014-09-26 10:28:07 -04:00
Adrian Costina bc93a15229 fmcomms1: Fixed missing sample issue on ZC706. Added modifications for all other platforms 2014-09-25 15:25:26 -04:00
Istvan Csomortani 87c4c73e22 ad9434: Fix adc_clk constraint
ADC clock is 500 Mhz.
2014-09-25 16:54:06 +03:00
Istvan Csomortani 82ed885b53 ad9434: Fix SPI line physical constraints
SPI lines are not differential.
2014-09-25 16:53:16 +03:00
Istvan Csomortani 6a09a1ed19 ad9434: Fix the processor read interface
Fix the processor read interface, preventing to have nets with multiple drivers. Made a few cosmetic changes in the code too.
2014-09-25 16:51:58 +03:00
Istvan Csomortani ccb0b135ca ad9434: Fix the adc to dma interface.
All the device2dma interfaces needs to have a generic form : (data, enable, valid)/channel
2014-09-25 16:50:09 +03:00
Istvan Csomortani d5f4991e26 ad9434: Merge the ad9434_if interface data outputs into one single bus 2014-09-25 16:45:12 +03:00
Istvan Csomortani 079ed0ffb3 ad_serdes_in: Update the serdes_in module
Add additional IDELAY block before the ISERDES. Delet the IDDR blocks. Be aware, the ISERDES block are running in DDR mode. If the interface is SDR the maximum parallel data width is 4.
2014-09-25 16:40:29 +03:00
Istvan Csomortani 27ffff827a common: Initial check in of ad_serdes_in.v
A generic serdes module for input interface, support both 6 and 7 series.
2014-09-24 18:34:40 +03:00
Istvan Csomortani 683561b67d AD9434: Initial check in of the library and project with ZC706 2014-09-24 18:27:17 +03:00
Adrian Costina 1d4bc47cea ad9265: Initial commit 2014-09-23 22:51:42 -04:00
acostina 296983707b usdrx1: Updated project to 2014.2 2014-09-23 22:45:50 -04:00
acostina 5af2474d51 usdrx1: axi_ad9671 / axi_jesd_gt added signal for frame synchronization 2014-09-23 22:44:33 -04:00
Adrian Costina bdf01738a1 ultrasound: disconnected ADN4670 chips from SPI lines.
Connected everything to ground so that the the clock selected is 0 and all outputs are enabled
2014-09-23 22:30:42 -04:00
Adrian Costina 7e40f99fe9 fmcomms2: Improved constraints for ac701 and zc702. Fixed common design so that ILA works correctly on microblaze based systems 2014-09-23 22:28:27 -04:00