############################################################################### ## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. ### SPDX short identifier: ADIBSD ############################################################################### set_false_path -to [get_registers *adc_xfer_req_m_reg[0]*] set_false_path -to [get_registers *adc_xfer_req_m[0]*] set_false_path -to [get_registers *cdc_sync_stage1*]