############################################################################### ## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. ### SPDX short identifier: ADIBSD ############################################################################### source ../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project_create sidekiqz2 0 {} "xc7z010clg225-1" adi_project_files sidekiqz2 [list \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/library/common/ad_iobuf.v"] adi_project_run sidekiqz2