#################################################################################### ## Copyright 2018(c) Analog Devices, Inc. ## Auto-generated, do not modify! #################################################################################### PROJECT_NAME := ad6676evb_vc707 M_DEPS += ../common/ad6676evb_bd.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_sysref_gen.v LIB_DEPS += axi_ad6676 LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += sysid_rom LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr include ../../scripts/project-xilinx.mk