set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports dac_clk_in_p]] set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]]