// *************************************************************************** // *************************************************************************** // Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. // // Each core or library found in this collection may have its own licensing terms. // The user should keep this in in mind while exploring these cores. // // Redistribution and use in source and binary forms, // with or without modification of this file, are permitted under the terms of either // (at the option of the user): // // 1. The GNU General Public License version 2 as published by the // Free Software Foundation, which can be found in the top level directory, or at: // https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html // // OR // // 2. An ADI specific BSD license as noted in the top level directory, or on-line at: // https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE // // *************************************************************************** // *************************************************************************** // PN monitors `timescale 1ns/100ps module ad_pnmon #( parameter DATA_WIDTH = 16) ( // adc interface input adc_clk, input adc_valid_in, input [DW:0] adc_data_in, input [DW:0] adc_data_pn, // pn out of sync and error output reg adc_pn_oos, output reg adc_pn_err); localparam DW = DATA_WIDTH - 1; // internal registers reg adc_valid_d = 'd0; reg adc_pn_match_d = 'd0; reg adc_pn_match_z = 'd0; reg [ 3:0] adc_pn_oos_count = 'd0; // internal signals wire adc_pn_match_d_s; wire adc_pn_match_z_s; wire adc_pn_match_s; wire adc_pn_update_s; wire adc_pn_err_s; // make sure data is not 0, sequence will fail. assign adc_pn_match_d_s = (adc_data_in == adc_data_pn) ? 1'b1 : 1'b0; assign adc_pn_match_z_s = (adc_data_in == 'd0) ? 1'b0 : 1'b1; assign adc_pn_match_s = adc_pn_match_d & adc_pn_match_z; assign adc_pn_update_s = ~(adc_pn_oos ^ adc_pn_match_s); assign adc_pn_err_s = ~(adc_pn_oos | adc_pn_match_s); // pn oos and counters (16 to clear and set). always @(posedge adc_clk) begin adc_valid_d <= adc_valid_in; adc_pn_match_d <= adc_pn_match_d_s; adc_pn_match_z <= adc_pn_match_z_s; if (adc_valid_d == 1'b1) begin adc_pn_err <= adc_pn_err_s; if ((adc_pn_update_s == 1'b1) && (adc_pn_oos_count >= 15)) begin adc_pn_oos <= ~adc_pn_oos; end if (adc_pn_update_s == 1'b1) begin adc_pn_oos_count <= adc_pn_oos_count + 1'b1; end else begin adc_pn_oos_count <= 'd0; end end end endmodule // *************************************************************************** // ***************************************************************************