#################################################################################### ## Copyright 2018(c) Analog Devices, Inc. ## Auto-generated, do not modify! #################################################################################### PROJECT_NAME := ad9467_fmc_kc705 M_DEPS += ../common/ad9467_spi.v M_DEPS += ../common/ad9467_bd.tcl M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_ad9467 LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid LIB_DEPS += sysid_rom include ../../scripts/project-xilinx.mk