pluto_hdl_adi/library/axi_ad9152/axi_ad9152_constr.xdc

7 lines
172 B
Plaintext

set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports tx_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]]