pluto_hdl_adi/library/axi_ad9434/axi_ad9434_constr.xdc

3 lines
188 B
Plaintext

set_false_path -from [get_cells -hier -filter {name =~ *up_drp_locked_reg && IS_SEQUENTIAL}]
-to [get_cells -hier -filter {name =~ *adc_status_m1_reg && IS_SEQUENTIAL}]