pluto_hdl_adi/projects/daq2/a10gx
Istvan Csomortani deb366d169 daq2|3: Set up OPTIMIZATION_MODE to improve timing
There are random timing violations on the A10GX board using the
DAQ3 and DAQ2 projects.

Setting the synthesis/implementation strategy to "HIGH PERFORMANCE
EFFORT" increases the success rate of the timing closure significantly.
2018-06-06 08:33:20 +01:00
..
Makefile Move Altera IP core dependency tracking to library Makefiles 2018-04-11 15:09:54 +03:00
system_constr.sdc daq2/a10gx: Add cfi_flash to qsys 2017-10-04 11:30:29 +01:00
system_project.tcl daq2|3: Set up OPTIMIZATION_MODE to improve timing 2018-06-06 08:33:20 +01:00
system_qsys.tcl daq2: A10GX, added additional interconnect pipelining 2017-10-23 16:39:58 +01:00
system_top.v license: Fix a spelling mistake 2018-04-11 15:09:54 +03:00