pluto_hdl_adi/library/axi_dmac/axi_dmac_constr.xdc

4 lines
92 B
Plaintext

set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports -regexp .*clk$]]