pluto_hdl_adi/projects/fmcjesdadc1/a5soc/system_constr.sdc

9 lines
118 B
Plaintext

create_clock -period "4.000 ns" -name clk_250m [get_ports {ref_clk}]
derive_pll_clocks
derive_clock_uncertainty