pluto_hdl_adi/projects/pzsdr1/ccbrk/system_constr.xdc

98 lines
9.1 KiB
Plaintext

## constraints
## loopback
## p6
set_property -dict {PACKAGE_PIN T12 IOSTANDARD LVCMOS25} [get_ports gp_out[0]] ; ## JX4.20 IO_L2P_T0_34
set_property -dict {PACKAGE_PIN T11 IOSTANDARD LVCMOS25} [get_ports gp_in[0]] ; ## JX4.19 IO_L1P_T0_34
set_property -dict {PACKAGE_PIN U12 IOSTANDARD LVCMOS25} [get_ports gp_out[1]] ; ## JX4.22 IO_L2N_T0_34
set_property -dict {PACKAGE_PIN T10 IOSTANDARD LVCMOS25} [get_ports gp_in[1]] ; ## JX4.21 IO_L1N_T0_34
set_property -dict {PACKAGE_PIN V12 IOSTANDARD LVCMOS25} [get_ports gp_out[2]] ; ## JX4.26 IO_L4P_T0_34
set_property -dict {PACKAGE_PIN U13 IOSTANDARD LVCMOS25} [get_ports gp_in[2]] ; ## JX4.25 IO_L3P_T0_DQS_PUDC_B_34
set_property -dict {PACKAGE_PIN W13 IOSTANDARD LVCMOS25} [get_ports gp_out[3]] ; ## JX4.28 IO_L4N_T0_34
set_property -dict {PACKAGE_PIN V13 IOSTANDARD LVCMOS25} [get_ports gp_in[3]] ; ## JX4.27 IO_L3N_T0_DQS_34
set_property -dict {PACKAGE_PIN P14 IOSTANDARD LVCMOS25} [get_ports gp_out[4]] ; ## JX4.32 IO_L6P_T0_34
set_property -dict {PACKAGE_PIN T14 IOSTANDARD LVCMOS25} [get_ports gp_in[4]] ; ## JX4.31 IO_L5P_T0_34
set_property -dict {PACKAGE_PIN R14 IOSTANDARD LVCMOS25} [get_ports gp_out[5]] ; ## JX4.34 IO_L6N_T0_VREF_34
set_property -dict {PACKAGE_PIN T15 IOSTANDARD LVCMOS25} [get_ports gp_in[5]] ; ## JX4.33 IO_L5N_T0_34
set_property -dict {PACKAGE_PIN W14 IOSTANDARD LVCMOS25} [get_ports gp_out[6]] ; ## JX4.36 IO_L8P_T1_34
set_property -dict {PACKAGE_PIN Y16 IOSTANDARD LVCMOS25} [get_ports gp_in[6]] ; ## JX4.35 IO_L7P_T1_34
set_property -dict {PACKAGE_PIN U18 IOSTANDARD LVCMOS25} [get_ports gp_out[7]] ; ## JX4.46 IO_L12P_T1_MRCC_34
set_property -dict {PACKAGE_PIN U14 IOSTANDARD LVCMOS25} [get_ports gp_in[7]] ; ## JX4.45 IO_L11P_T1_SRCC_34
set_property -dict {PACKAGE_PIN U19 IOSTANDARD LVCMOS25} [get_ports gp_out[8]] ; ## JX4.48 IO_L12N_T1_MRCC_34
set_property -dict {PACKAGE_PIN U15 IOSTANDARD LVCMOS25} [get_ports gp_in[8]] ; ## JX4.47 IO_L11N_T1_SRCC_34
## p7
set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVCMOS25} [get_ports gp_out[9]] ; ## JX4.52 IO_L14P_T2_SRCC_34
set_property -dict {PACKAGE_PIN N18 IOSTANDARD LVCMOS25} [get_ports gp_in[9]] ; ## JX4.51 IO_L13P_T2_MRCC_34
set_property -dict {PACKAGE_PIN P20 IOSTANDARD LVCMOS25} [get_ports gp_out[10]] ; ## JX4.54 IO_L14N_T2_SRCC_34
set_property -dict {PACKAGE_PIN P19 IOSTANDARD LVCMOS25} [get_ports gp_in[10]] ; ## JX4.53 IO_L13N_T2_MRCC_34
set_property -dict {PACKAGE_PIN V20 IOSTANDARD LVCMOS25} [get_ports gp_out[11]] ; ## JX4.58 IO_L16P_T2_34
set_property -dict {PACKAGE_PIN T20 IOSTANDARD LVCMOS25} [get_ports gp_in[11]] ; ## JX4.57 IO_L15P_T2_DQS_34
set_property -dict {PACKAGE_PIN W20 IOSTANDARD LVCMOS25} [get_ports gp_out[12]] ; ## JX4.60 IO_L16N_T2_34
set_property -dict {PACKAGE_PIN U20 IOSTANDARD LVCMOS25} [get_ports gp_in[12]] ; ## JX4.59 IO_L15N_T2_DQS_34
set_property -dict {PACKAGE_PIN T17 IOSTANDARD LVCMOS25} [get_ports gp_out[13]] ; ## JX4.74 IO_L20P_T3_34
set_property -dict {PACKAGE_PIN R16 IOSTANDARD LVCMOS25} [get_ports gp_in[13]] ; ## JX4.73 IO_L19P_T3_34
set_property -dict {PACKAGE_PIN R18 IOSTANDARD LVCMOS25} [get_ports gp_out[14]] ; ## JX4.76 IO_L20N_T3_34
set_property -dict {PACKAGE_PIN R17 IOSTANDARD LVCMOS25} [get_ports gp_in[14]] ; ## JX4.75 IO_L19N_T3_VREF_34
set_property -dict {PACKAGE_PIN W18 IOSTANDARD LVCMOS25} [get_ports gp_out[15]] ; ## JX4.78 IO_L22P_T3_34
set_property -dict {PACKAGE_PIN V17 IOSTANDARD LVCMOS25} [get_ports gp_in[15]] ; ## JX4.77 IO_L21P_T3_DQS_34
set_property -dict {PACKAGE_PIN W19 IOSTANDARD LVCMOS25} [get_ports gp_out[16]] ; ## JX4.80 IO_L22N_T3_34
set_property -dict {PACKAGE_PIN V18 IOSTANDARD LVCMOS25} [get_ports gp_in[16]] ; ## JX4.79 IO_L21N_T3_DQS_34
## p2
set_property -dict {PACKAGE_PIN T9 IOSTANDARD LVCMOS25} [get_ports gp_out[17]] ; ## JX2.36 IO_L12P_T1_MRCC_13
set_property -dict {PACKAGE_PIN U7 IOSTANDARD LVCMOS25} [get_ports gp_in[17]] ; ## JX2.35 IO_L11P_T1_SRCC_13
set_property -dict {PACKAGE_PIN U10 IOSTANDARD LVCMOS25} [get_ports gp_out[18]] ; ## JX2.38 IO_L12N_T1_MRCC_13
set_property -dict {PACKAGE_PIN V7 IOSTANDARD LVCMOS25} [get_ports gp_in[18]] ; ## JX2.37 IO_L11N_T1_SRCC_13
set_property -dict {PACKAGE_PIN Y9 IOSTANDARD LVCMOS25} [get_ports gp_out[19]] ; ## JX2.42 IO_L14P_T2_SRCC_13
set_property -dict {PACKAGE_PIN Y7 IOSTANDARD LVCMOS25} [get_ports gp_in[19]] ; ## JX2.41 IO_L13P_T2_MRCC_13
set_property -dict {PACKAGE_PIN Y8 IOSTANDARD LVCMOS25} [get_ports gp_out[20]] ; ## JX2.44 IO_L14N_T2_SRCC_13
set_property -dict {PACKAGE_PIN Y6 IOSTANDARD LVCMOS25} [get_ports gp_in[20]] ; ## JX2.43 IO_L13N_T2_MRCC_13
set_property -dict {PACKAGE_PIN W10 IOSTANDARD LVCMOS25} [get_ports gp_out[21]] ; ## JX2.48 IO_L16P_T2_13
set_property -dict {PACKAGE_PIN V8 IOSTANDARD LVCMOS25} [get_ports gp_in[21]] ; ## JX2.47 IO_L15P_T2_DQS_13
set_property -dict {PACKAGE_PIN W9 IOSTANDARD LVCMOS25} [get_ports gp_out[22]] ; ## JX2.50 IO_L16N_T2_13
set_property -dict {PACKAGE_PIN W8 IOSTANDARD LVCMOS25} [get_ports gp_in[22]] ; ## JX2.49 IO_L15N_T2_DQS_13
set_property -dict {PACKAGE_PIN W11 IOSTANDARD LVCMOS25} [get_ports gp_out[23]] ; ## JX2.54 IO_L18P_T2_13
set_property -dict {PACKAGE_PIN U9 IOSTANDARD LVCMOS25} [get_ports gp_in[23]] ; ## JX2.53 IO_L17P_T2_13
set_property -dict {PACKAGE_PIN Y11 IOSTANDARD LVCMOS25} [get_ports gp_out[24]] ; ## JX2.56 IO_L18N_T2_13
set_property -dict {PACKAGE_PIN U8 IOSTANDARD LVCMOS25} [get_ports gp_in[24]] ; ## JX2.55 IO_L17N_T2_13
set_property -dict {PACKAGE_PIN Y12 IOSTANDARD LVCMOS25} [get_ports gp_out[25]] ; ## JX2.62 IO_L20P_T3_13
set_property -dict {PACKAGE_PIN T5 IOSTANDARD LVCMOS25} [get_ports gp_in[25]] ; ## JX2.61 IO_L19P_T3_13
set_property -dict {PACKAGE_PIN Y13 IOSTANDARD LVCMOS25} [get_ports gp_out[26]] ; ## JX2.64 IO_L20N_T3_13
set_property -dict {PACKAGE_PIN U5 IOSTANDARD LVCMOS25} [get_ports gp_in[26]] ; ## JX2.63 IO_L19N_T3_VREF_13
## vcc
set_property -dict {PACKAGE_PIN V5 IOSTANDARD LVCMOS25} [get_ports gp_in_open[0]] ; ## JX2.18 IO_L6N_T0_VREF_13
set_property -dict {PACKAGE_PIN V16 IOSTANDARD LVCMOS25} [get_ports gp_in_open[1]] ; ## JX4.68 IO_L18P_T2_34
set_property -dict {PACKAGE_PIN W16 IOSTANDARD LVCMOS25} [get_ports gp_in_open[2]] ; ## JX4.70 IO_L18N_T2_34
set_property -dict {PACKAGE_PIN V11 IOSTANDARD LVCMOS25} [get_ports gp_in_open[3]] ; ## JX2.67 IO_L21P_T3_DQS_13
set_property -dict {PACKAGE_PIN V10 IOSTANDARD LVCMOS25} [get_ports gp_in_open[4]] ; ## JX2.69 IO_L21N_T3_DQS_13
## MIO loopbacks (fixed-io)
## the following are connected to AD9361 GPIO
## JX4.86 A23 PS_MIO12_500_JX4 <==> JX4.2 NA AD9361_GPO1
## JX4.88 B26 PS_MIO11_500_JX4 <==> JX4.1 NA AD9361_GPO0
## JX4.91 B25 PS_MIO13_500_JX4 <==> JX4.3 NA AD9361_GPO2
## JX4.93 D23 PS_MIO14_500_JX4 <==> JX4.4 NA AD9361_GPO3
## the following are mio-to-mio loopback (excluding Push-Buttons to LED)
## JX4.92 E17 PS_MIO46_501_JX4 <==> JX4.94 B19 PS_MIO47_501_JX4
## the following are mio-to-pl loopback
## JX4.100 B20 PS_MIO51_501_JX4 <==> JX4.67 A9 IO_L17P_T2_34
## JX4.85 C24 PS_MIO15_500_JX4 <==> JX4.37 E5 IO_L7N_T1_34
## JX4.87 A25 PS_MIO10_500_JX4 <==> JX4.42 E6 IO_L10P_T1_34
set_property -dict {PACKAGE_PIN Y18 IOSTANDARD LVCMOS25} [get_ports gp_in_mio[0]] ; ## JX4.67 IO_L17P_T2_34
set_property -dict {PACKAGE_PIN Y17 IOSTANDARD LVCMOS25} [get_ports gp_in_mio[1]] ; ## JX4.37 IO_L7N_T1_34
set_property -dict {PACKAGE_PIN V15 IOSTANDARD LVCMOS25} [get_ports gp_in_mio[2]] ; ## JX4.42 IO_L10P_T1_34