pluto_hdl_adi/projects/fmcomms2/zcu102/system_project.tcl

15 lines
461 B
Tcl

source ../../../scripts/adi_env.tcl
source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl
source $ad_hdl_dir/projects/scripts/adi_board.tcl
adi_project fmcomms2_zcu102
adi_project_files fmcomms2_zcu102 [list \
"system_top.v" \
"system_constr.xdc"\
"$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ]
adi_project_run fmcomms2_zcu102
source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl