pluto_hdl_adi/projects/dc2677a/c5soc/system_constr.sdc

12 lines
496 B
Plaintext

###############################################################################
## Copyright (C) 2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
create_clock -period "20.000 ns" -name sys_clk [get_ports {sys_clk}]
derive_pll_clocks
derive_clock_uncertainty
set_false_path -from [get_registers *altera_reset_synchronizer:alt_rst_sync_uq1|altera_reset_synchronizer_int_chain_out*]