pluto_hdl_adi/library/axi_dmac/tb
Lars-Peter Clausen 8ddcffcafc axi_dmac: Enforce transfer length and stride alignments
In its current implementation the DMAC requires that the length of a
transfer is aligned to the widest interface. E.g. if the widest interface
is 128 bits wide the length of the transfer needs to be a multiple of 16
bytes.

If the requested length is not aligned to the interface width it will be
rounded up.

This works fine as long as both interfaces have the same width. If they
have different widths it is possible that the length is rounded up to
different values on the source and destination side. In that case the DMA
will deadlock because the transfer lengths don't match and either not enough
of too much data is delivered from the source to the destination side.

Currently it is up to software to make sure that such an invalid
configuration is not possible.

Also enforce this requirement in the DMAC itself by setting the LSBs of the
transfer length to a fixed 1 so that the length is always aligned to the
widest interface.

Software can also use this to discover the length alignment requirement, by
first writing a zero to the length register and then reading the register
back. The LSBs of the read back value will be non-zero indicating the
alignment requirement.

In a similar way the stride needs to be aligned to the width of its
respective interface, so the generated addresses stay aligned. Enforce this
in the same way by keeping the LSBs cleared.

Increment the minor version number to reflect these changes.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2018-07-03 13:44:34 +02:00
..
axi_read_slave.v axi_dmac: Add transfer testbenches 2018-05-03 14:49:06 +02:00
axi_slave.v axi_dmac: Add transfer testbenches 2018-05-03 14:49:06 +02:00
axi_write_slave.v axi_dmac/dma_write_tb: added data integrity check 2018-05-03 14:49:06 +02:00
dma_read_shutdown_tb axi_dmac: Eliminate beat counter for the destination interfaces 2018-07-03 13:44:34 +02:00
dma_read_shutdown_tb.v axi_dmac: Hook up rlast for MM-AXI source interface 2018-07-03 13:44:34 +02:00
dma_read_tb axi_dmac: Eliminate beat counter for the destination interfaces 2018-07-03 13:44:34 +02:00
dma_read_tb.v axi_dmac: Hook up rlast for MM-AXI source interface 2018-07-03 13:44:34 +02:00
dma_write_shutdown_tb axi_dmac: Rework data store-and-forward buffer 2018-07-03 13:44:34 +02:00
dma_write_shutdown_tb.v axi_dmac: Add testbenches that exercise DMA shutdown 2018-07-03 13:44:34 +02:00
dma_write_tb axi_dmac: Rework data store-and-forward buffer 2018-07-03 13:44:34 +02:00
dma_write_tb.v axi_dmac: Rework transfer shutdown 2018-07-03 13:44:34 +02:00
regmap_tb axi_dmac: Add simple register map testbench 2018-05-03 14:49:06 +02:00
regmap_tb.v axi_dmac: Enforce transfer length and stride alignments 2018-07-03 13:44:34 +02:00
reset_manager_tb axi_dmac: Rework transfer shutdown 2018-07-03 13:44:34 +02:00
reset_manager_tb.v axi_dmac: Rework transfer shutdown 2018-07-03 13:44:34 +02:00
run_tb.sh axi_dmac: added ModelSim support to run_tb.sh 2018-05-03 14:49:06 +02:00
tb_base.v axi_dmac: Add simple register map testbench 2018-05-03 14:49:06 +02:00