pluto_hdl_adi/projects/common/vc707
Laszlo Nagy fe2b43ddd9 base:constraint: Setting Configuration Bank Voltage Select
Set the properties to mirror the hardware configuration so
the Vivado tools can provide warnings if there are any conflicts
between configuration pin settings, such as an IOSTANDARD
on a multi-function configuration pin that conflicts with the
configuration voltage.
see:
https://www.xilinx.com/support/documentation/user_guides/ug570-ultrascale-configuration.pdf

The following base constraints were updated:
 - kcu105
 - kc705
 - vc707
 - ac701
2018-04-11 15:09:54 +03:00
..
vc707_system_bd.tcl Ip automatic version update: common/board 2017-04-12 19:03:16 +03:00
vc707_system_constr.xdc base:constraint: Setting Configuration Bank Voltage Select 2018-04-11 15:09:54 +03:00
vc707_system_mig.prj Add .gitattributes file 2015-06-26 11:07:10 +02:00