pluto_hdl_adi/projects/adrv9364z7020/common
Laszlo Nagy 4b13274c55 ad9361/all/system_constr.xdc: remove manual clock definition
Having a clock assigned manually to the clk output pin of the axi_ad9361
let the Vivado timing engine to not ignore the clock insertion delay when
analyzing paths between clk_0 and the manually created clock that has
the same source (clk_0), resulting in timing failure.
2019-04-12 10:48:50 +03:00
..
adrv9364z7020_bd.tcl adrv9364: Use new pack/unpack infrastructure 2018-11-28 11:33:11 +02:00
adrv9364z7020_constr.xdc adrv9364z7020- fix enable/en_agc mixup 2017-06-05 16:06:27 -04:00
adrv9364z7020_constr_cmos.xdc ad9361/all/system_constr.xdc: remove manual clock definition 2019-04-12 10:48:50 +03:00
adrv9364z7020_constr_lvds.xdc ad9361/all/system_constr.xdc: remove manual clock definition 2019-04-12 10:48:50 +03:00
ccbob_bd.tcl adrv9364z7020: Rename pzsdr1 to adrv9364z7020 2017-05-25 17:20:23 +03:00
ccbob_constr.xdc adrv9364z7020: Rename pzsdr1 to adrv9364z7020 2017-05-25 17:20:23 +03:00
ccbox_bd.tcl axi_ad9361: Update the PPS receiver module 2017-08-02 16:38:23 +01:00
ccbox_constr.xdc adrv9364/ccbox- input rf protection 2017-08-25 13:30:46 -04:00