pluto_hdl_adi/projects/cn0506_rgmii
AndreiGrozav 2bca2e156c cn0506_rgmii: Fix no clock defined warnings
This commit fixes the critical warning regarding the missing clock
definitions.
- Defined MDC(MDIO) clocks
- Set false path on(to) the ps8 MDIO input pins. There are synchronization
stages in the GMII to RGMII converter for the CDC between the 375M refclk
and 2.5M MDC clock domains.
2020-02-21 18:22:49 +02:00
..
a10soc Merge pull request #430 from analogdevicesinc/update_tcl 2020-02-06 16:32:40 +02:00
common cn0506_rgmii: base design initial commit 2019-09-20 18:03:27 +03:00
zc706 Merge pull request #430 from analogdevicesinc/update_tcl 2020-02-06 16:32:40 +02:00
zcu102 cn0506_rgmii: Fix no clock defined warnings 2020-02-21 18:22:49 +02:00
zed Merge pull request #430 from analogdevicesinc/update_tcl 2020-02-06 16:32:40 +02:00
Makefile cn0506_rgmii: base design initial commit 2019-09-20 18:03:27 +03:00