pluto_hdl_adi/library/jesd204/tb/run_tb.sh

9 lines
124 B
Bash

NAME=`basename $0`
mkdir -p run
mkdir -p vcd
iverilog ${SOURCE} -o run/run_${NAME} $1 || exit 1
cd vcd
../run/run_${NAME}