pluto_hdl_adi/library/scripts
stefan.raus 9d5de2fc21 Update Vivado version to 2020.2
Update vivado version to 2020.2:
 - update default vivado version from 2020.1 to 2020.2
 - add conditions to apply specific contraints only in Out Of Context mode.
 - update DDR controler parameters for vcu118 and kcu105 dev boards
2021-07-29 14:06:42 +03:00
..
adi_env.tcl adi_env: Update system level environment variable definition 2019-07-22 11:00:45 +03:00
adi_intel_device_info_enc.tcl Add 'SE Base' family to the supported FPGAs 2020-09-15 18:14:23 +03:00
adi_ip_intel.tcl scripts/adi_ip_intel: Rename the ad_alt_intf to ad_interface 2019-06-29 06:53:51 +03:00
adi_ip_xilinx.tcl Update Vivado version to 2020.2 2021-07-29 14:06:42 +03:00
adi_xilinx_device_info_enc.tcl adi_xilinx_device_info_enc: Add new packages 2020-03-19 14:28:05 +02:00
library.mk library.mk: Update CLEAN_TARGET 2021-02-16 15:11:53 +02:00