pluto_hdl_adi/projects/adv7511/zc702/system_constr.xdc

13 lines
834 B
Plaintext

# gpio (pmods)
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports gpio_bd[8]] ; ## PMOD2_3_LS
set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS25} [get_ports gpio_bd[9]] ; ## PMOD2_2_LS
set_property -dict {PACKAGE_PIN W10 IOSTANDARD LVCMOS25} [get_ports gpio_bd[10]] ; ## PMOD2_1_LS
set_property -dict {PACKAGE_PIN V7 IOSTANDARD LVCMOS25} [get_ports gpio_bd[11]] ; ## PMOD2_0_LS
set_property -dict {PACKAGE_PIN E15 IOSTANDARD LVCMOS25} [get_ports gpio_bd[12]] ; ## PMOD1_0_LS
set_property -dict {PACKAGE_PIN D15 IOSTANDARD LVCMOS25} [get_ports gpio_bd[13]] ; ## PMOD1_1_LS
set_property -dict {PACKAGE_PIN W17 IOSTANDARD LVCMOS25} [get_ports gpio_bd[14]] ; ## PMOD1_2_LS
set_property -dict {PACKAGE_PIN W5 IOSTANDARD LVCMOS25} [get_ports gpio_bd[15]] ; ## PMOD1_3_LS