pluto_hdl_adi/projects/fmcomms2/vc707
Istvan Csomortani c051a578e5 fmcomms2: Delete unnecessary clock definition
The two clocks, rx_clk and ad9361_clk, are the same.
2015-11-20 19:35:37 +02:00
..
Makefile fmcomms2: Update make files 2015-11-11 11:15:45 +02:00
system_bd.tcl fmcomms2/vc707 - wfifo changes 2015-06-05 12:44:04 -04:00
system_constr.xdc fmcomms2: Delete unnecessary clock definition 2015-11-20 19:35:37 +02:00
system_project.tcl fmcomms2: Updated VC707 design with the latest base design 2015-03-20 18:22:48 +02:00
system_top.v fmcomms2/vc707: Fix the system_top 2015-09-25 19:11:37 +03:00