pluto_hdl_adi/projects/adrv9371x
AndreiGrozav 502989c25f jesd_rst_gen:constraints: Remove invalid false path definitions
The constraint where added to remove timing problems on the reset path.

The constraint paths do not match anymore. The resets are used in a synchronous
way so we don't need the timing exceptions anyway.

Projects affected by this change:
  - daq3
  - adrv9739
  - ad6676evb
  - fmcadc5
  - daq2/kcu105
  - fmcadc2
  - adrv9371x
  - fmcomms11/zc706
  - fmcjesdadc1
2018-04-11 15:09:54 +03:00
..
a10gx Make: Update makefiles 2017-11-20 14:27:39 +02:00
a10soc Make: Update makefiles 2017-11-20 14:27:39 +02:00
common adrv9371x/a10soc: For receive paths SYNC_TRANSFER must be enabled 2017-10-04 11:29:09 +01:00
kcu105 jesd_rst_gen:constraints: Remove invalid false path definitions 2018-04-11 15:09:54 +03:00
zc706 jesd_rst_gen:constraints: Remove invalid false path definitions 2018-04-11 15:09:54 +03:00
zcu102 jesd_rst_gen:constraints: Remove invalid false path definitions 2018-04-11 15:09:54 +03:00
Makefile Make: Use $(MAKE) for recursive make commands 2018-03-07 07:40:19 +00:00