pluto_hdl_adi/projects/adrv9371x/kcu105
AndreiGrozav 502989c25f jesd_rst_gen:constraints: Remove invalid false path definitions
The constraint where added to remove timing problems on the reset path.

The constraint paths do not match anymore. The resets are used in a synchronous
way so we don't need the timing exceptions anyway.

Projects affected by this change:
  - daq3
  - adrv9739
  - ad6676evb
  - fmcadc5
  - daq2/kcu105
  - fmcadc2
  - adrv9371x
  - fmcomms11/zc706
  - fmcjesdadc1
2018-04-11 15:09:54 +03:00
..
Makefile Make: Use $(MAKE) for recursive make commands 2018-03-07 07:40:19 +00:00
system_bd.tcl adrv9371x/kcu105: Use ultrascale type primitives in axi_clkgen IP 2018-02-13 17:33:38 +02:00
system_constr.xdc jesd_rst_gen:constraints: Remove invalid false path definitions 2018-04-11 15:09:54 +03:00
system_project.tcl adrv9371x_kcu105: Initial commit 2017-08-22 15:41:49 +03:00
system_top.v adrv9371x_kcu105: Initial commit 2017-08-22 15:41:49 +03:00