pluto_hdl_adi/projects/ad7616_sdz/common
Istvan Csomortani 573146aa96 axi_ad7616: Fix the data width of the AXI stream interface 2016-03-10 16:38:53 +02:00
..
ad7616_bd.tcl axi_ad7616: Fix the data width of the AXI stream interface 2016-03-10 16:38:53 +02:00