pluto_hdl_adi/projects/m2k
AndreiGrozav 0a3a99bf83 m2k: Define SPI clock constraint 2019-06-21 09:53:14 +03:00
..
common m2k: Remove memory interconnects and connect directly to the HP ports 2019-04-15 17:49:11 +03:00
standalone m2k: Define SPI clock constraint 2019-06-21 09:53:14 +03:00
Makefile Regenerate project top-level Makefiles 2018-04-11 15:09:54 +03:00