pluto_hdl_adi/library/xilinx/util_clkdiv/util_clkdiv_constr.xdc

6 lines
222 B
Plaintext

set_clock_groups \
-group [get_clocks -of_objects [get_pins clk_divide_sel_0/O]] \
-group [get_clocks -of_objects [get_pins clk_divide_sel_1/O]] \
-logically_exclusive
set_false_path -to [get_pins i_div_clk_gbuf/S*]