pluto_hdl_adi/library/axi_ad9434/axi_ad9434_constr.xdc

8 lines
436 B
Plaintext

###############################################################################
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
set_false_path -from [get_cells -hier -filter {name =~ *up_drp_locked_reg && IS_SEQUENTIAL}] -to \
[get_cells -hier -filter {name =~ *adc_status_m1_reg && IS_SEQUENTIAL}]