pluto_hdl_adi/library/axi_ad9361
Istvan Csomortani a497dcabb5 axi_ad9361: Bring up the tdd_enable bit
This line will be the selection bit of the GPIO/TDD_FSM mux for ENABLE/TXNRX control
2015-07-01 13:52:00 +03:00
..
Makefile Makefiles: Updated makefiles to have as a result the programming file instead of the project file. 2015-05-18 17:22:46 +03:00
axi_ad9361.v axi_ad9361: Bring up the tdd_enable bit 2015-07-01 13:52:00 +03:00
axi_ad9361_alt_lvds_rx.v Add .gitattributes file 2015-06-26 11:07:10 +02:00
axi_ad9361_alt_lvds_tx.v Add .gitattributes file 2015-06-26 11:07:10 +02:00
axi_ad9361_constr.xdc axi_ad9361: Add ASYNC_REG properties to CDC regs and add missing -datapath_only 2015-04-21 10:15:02 +02:00
axi_ad9361_dev_if.v Add .gitattributes file 2015-06-26 11:07:10 +02:00
axi_ad9361_dev_if_alt.v Add .gitattributes file 2015-06-26 11:07:10 +02:00
axi_ad9361_hw.tcl ad_rst- non lpm version 2015-06-04 10:53:12 -04:00
axi_ad9361_ip.tcl Add .gitattributes file 2015-06-26 11:07:10 +02:00
axi_ad9361_rx.v Add .gitattributes file 2015-06-26 11:07:10 +02:00
axi_ad9361_rx_channel.v Add .gitattributes file 2015-06-26 11:07:10 +02:00
axi_ad9361_rx_pnmon.v Add .gitattributes file 2015-06-26 11:07:10 +02:00
axi_ad9361_tdd.v axi_ad9361: Bring up the tdd_enable bit 2015-07-01 13:52:00 +03:00
axi_ad9361_tdd_if.v axi_ad9361/tdd: Cherry picked commit 598ece4 from hdl_2015_r1 branch 2015-06-04 18:09:47 +03:00
axi_ad9361_tx.v Add .gitattributes file 2015-06-26 11:07:10 +02:00
axi_ad9361_tx_channel.v Add .gitattributes file 2015-06-26 11:07:10 +02:00