pluto_hdl_adi/projects/adrv9001/zcu102
Laszlo Nagy c5d216bba9 adrv9001/zcu102: Enable independent TX mode in CMOS
For CMOS case, lane rates are so low that reference clock of the source
synchronous interface can be routed on non-clock routes. The delays on
the clock line are adjusted by the digital interface tuning controlled
through software.

Lock down clock buffers on Rx and Tx interfaces, this avoids suboptimal
placement which causes large skew between clocks at the serdes pins.
2021-10-27 14:40:08 +03:00
..
Makefile Makefiles: Update header with the appropriate license 2021-09-16 16:50:53 +03:00
cmos_constr.xdc adrv9001/zcu102: Enable independent TX mode in CMOS 2021-10-27 14:40:08 +03:00
lvds_constr.xdc projects/adrv9001/zcu102/lvds_constr.xdc: Fix timing constraints 2021-10-27 14:40:08 +03:00
system_bd.tcl adrv9001/zcu102: Enable independent Tx from Rx in CMOS mode 2021-05-26 15:44:45 +03:00
system_constr.xdc adrv9001/zcu102: Add debug header 2021-01-26 15:22:41 +02:00
system_project.tcl library: Move ad_iobuf to the common library, as it's not Xilinx specific 2020-11-02 16:13:35 +02:00
system_top.v adrv9001/zcu102: Add debug header 2021-01-26 15:22:41 +02:00