pluto_hdl_adi/projects/ad9434_fmc
Istvan Csomortani 87c4c73e22 ad9434: Fix adc_clk constraint
ADC clock is 500 Mhz.
2014-09-25 16:54:06 +03:00
..
common ad9434: Fix the adc to dma interface. 2014-09-25 16:50:09 +03:00
zc706 ad9434: Fix adc_clk constraint 2014-09-25 16:54:06 +03:00