pluto_hdl_adi/projects/common/kc705
Laszlo Nagy fe2b43ddd9 base:constraint: Setting Configuration Bank Voltage Select
Set the properties to mirror the hardware configuration so
the Vivado tools can provide warnings if there are any conflicts
between configuration pin settings, such as an IOSTANDARD
on a multi-function configuration pin that conflicts with the
configuration voltage.
see:
https://www.xilinx.com/support/documentation/user_guides/ug570-ultrascale-configuration.pdf

The following base constraints were updated:
 - kcu105
 - kc705
 - vc707
 - ac701
2018-04-11 15:09:54 +03:00
..
kc705_system_bd.tcl kc705: Fix ethernet address span 2017-06-30 14:23:01 +03:00
kc705_system_constr.xdc base:constraint: Setting Configuration Bank Voltage Select 2018-04-11 15:09:54 +03:00
kc705_system_mig.prj kc705: Deactivated narrow burst support, as it's not needed 2015-09-16 19:02:17 +03:00