pluto_hdl_adi/library/axi_ad9122/axi_ad9122_constr.xdc

3 lines
176 B
Plaintext
Executable File

set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports dac_clk_in_p]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]]