pluto_hdl_adi/library/axi_ad9643/axi_ad9643_constr.xdc

5 lines
262 B
Plaintext
Executable File

set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports adc_clk_in_p]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports adc_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]]