pluto_hdl_adi/projects/cn0363
PIoandan 86216958a7
Update cn0363 spi engine (#1183)
* Update cn0363 spi engine

I replaced the SPI Engine connections in the cn0363_bd.tcl with the
spi_engine_create procedure found in the spi_engine.tcl script. Through
these changes, a more generic instantiation for the spi_engine can be
achieved. I updated the system_constr.xdc file and
created the cn0363_pmod.txt file.

Signed-off-by: Ioan-daniel Pop <Pop.Ioan-daniel@analog.com>
2023-10-25 15:26:36 +03:00
..
common Update cn0363 spi engine (#1183) 2023-10-25 15:26:36 +03:00
zed Update cn0363 spi engine (#1183) 2023-10-25 15:26:36 +03:00
Makefile library & projects: Update Makefiles 2023-01-27 11:54:05 +02:00
Readme.md Update cn0363 spi engine (#1183) 2023-10-25 15:26:36 +03:00