pluto_hdl_adi/projects/adrv9009zu11eg/common
Adrian Costina 09ad67bfd7 adrv9009zu11eg: Make the project more parametrizable 2019-12-04 14:59:18 +02:00
..
adrv2crr_fmc_bd.tcl adrv9009_zu11eg_som: Change design partitioning 2019-11-14 15:25:23 +02:00
adrv2crr_fmc_constr.xdc adrv9009_zu11eg_som: Change design partitioning 2019-11-14 15:25:23 +02:00
adrv9009zu11eg_bd.tcl adrv9009zu11eg: Make the project more parametrizable 2019-12-04 14:59:18 +02:00
adrv9009zu11eg_constr.xdc adrv9009zu11eg: Reduce SPI Clock speed to meet timing 2019-11-19 10:29:57 +02:00
adrv9009zu11eg_spi.v adrv9009_zu11eg_som: Change design partitioning 2019-11-14 15:25:23 +02:00