pluto_hdl_adi/projects/daq3/common/daq3_bd.qsys

2460 lines
82 KiB
XML
Executable File

<?xml version="1.0" encoding="UTF-8"?>
<system name="$${FILENAME}">
<component
name="$${FILENAME}"
displayName="$${FILENAME}"
version="1.0"
description=""
tags=""
categories="System" />
<parameter name="bonusData"><![CDATA[bonusData
{
element ad9680_adcfifo
{
datum _sortIndex
{
value = "11";
type = "int";
}
}
element axi_ad9152_core
{
datum _sortIndex
{
value = "16";
type = "int";
}
}
element axi_ad9152_core.s_axi
{
datum baseAddress
{
value = "269025280";
type = "String";
}
}
element axi_ad9152_dma
{
datum _sortIndex
{
value = "14";
type = "int";
}
}
element axi_ad9152_dma.s_axi
{
datum baseAddress
{
value = "269156352";
type = "String";
}
}
element axi_ad9680_core
{
datum _sortIndex
{
value = "13";
type = "int";
}
}
element axi_ad9680_core.s_axi
{
datum baseAddress
{
value = "269090816";
type = "String";
}
}
element axi_ad9680_dma
{
datum _sortIndex
{
value = "10";
type = "int";
}
datum sopceditor_expanded
{
value = "1";
type = "boolean";
}
}
element axi_ad9680_dma.s_axi
{
datum baseAddress
{
value = "269172736";
type = "String";
}
}
element axi_jesd_xcvr
{
datum _sortIndex
{
value = "17";
type = "int";
}
}
element axi_jesd_xcvr.s_axi
{
datum baseAddress
{
value = "268959744";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element daq3_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element mem_clk
{
datum _sortIndex
{
value = "2";
type = "int";
}
}
element mem_rst
{
datum _sortIndex
{
value = "3";
type = "int";
}
}
element sys_clk
{
datum _sortIndex
{
value = "0";
type = "int";
}
}
element sys_rst
{
datum _sortIndex
{
value = "1";
type = "int";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element util_cpack_0
{
datum _sortIndex
{
value = "12";
type = "int";
}
}
element util_upack_0
{
datum _sortIndex
{
value = "15";
type = "int";
}
}
element xcvr_core
{
datum _sortIndex
{
value = "20";
type = "int";
}
}
element xcvr_rst_cntrl
{
datum _sortIndex
{
value = "18";
type = "int";
}
}
element xcvr_rx_pll
{
datum _sortIndex
{
value = "8";
type = "int";
}
}
element xcvr_rx_pll_reconfig
{
datum _sortIndex
{
value = "9";
type = "int";
}
}
element xcvr_rx_ref_clk
{
datum _sortIndex
{
value = "7";
type = "int";
}
}
element xcvr_tx_lane_pll
{
datum _sortIndex
{
value = "19";
type = "int";
}
}
element xcvr_tx_pll
{
datum _sortIndex
{
value = "5";
type = "int";
}
}
element xcvr_tx_pll_reconfig
{
datum _sortIndex
{
value = "6";
type = "int";
}
}
element xcvr_tx_ref_clk
{
datum _sortIndex
{
value = "4";
type = "int";
}
}
}
]]></parameter>
<parameter name="clockCrossingAdapter" value="FIFO" />
<parameter name="device" value="10AX115S3F45E2SGE3" />
<parameter name="deviceFamily" value="Arria 10" />
<parameter name="deviceSpeedGrade" value="2" />
<parameter name="fabricMode" value="QSYS" />
<parameter name="generateLegacySim" value="false" />
<parameter name="generationId" value="0" />
<parameter name="globalResetBus" value="false" />
<parameter name="hdlLanguage" value="VERILOG" />
<parameter name="hideFromIPCatalog" value="false" />
<parameter name="lockedInterfaceDefinition" value="" />
<parameter name="maxAdditionalLatency" value="2" />
<parameter name="projectName" value="" />
<parameter name="sopcBorderPoints" value="false" />
<parameter name="systemHash" value="0" />
<parameter name="testBenchDutName" value="" />
<parameter name="timeStamp" value="0" />
<parameter name="useTestBenchNamingPattern" value="false" />
<instanceScript></instanceScript>
<interface
name="axi_ad9152_core_s_axi"
internal="axi_ad9152_core.s_axi"
type="axi4lite"
dir="end" />
<interface
name="axi_ad9152_dma_intr"
internal="axi_ad9152_dma.interrupt_sender"
type="interrupt"
dir="end" />
<interface
name="axi_ad9152_dma_m_axi"
internal="axi_ad9152_dma.m_src_axi"
type="axi4"
dir="start" />
<interface
name="axi_ad9152_dma_s_axi"
internal="axi_ad9152_dma.s_axi"
type="axi4lite"
dir="end" />
<interface
name="axi_ad9680_core_s_axi"
internal="axi_ad9680_core.s_axi"
type="axi4lite"
dir="end" />
<interface
name="axi_ad9680_dma_intr"
internal="axi_ad9680_dma.interrupt_sender"
type="interrupt"
dir="end" />
<interface
name="axi_ad9680_dma_m_axi"
internal="axi_ad9680_dma.m_dest_axi"
type="axi4"
dir="start" />
<interface
name="axi_ad9680_dma_s_axi"
internal="axi_ad9680_dma.s_axi"
type="axi4lite"
dir="end" />
<interface
name="axi_jesd_xcvr_s_axi"
internal="axi_jesd_xcvr.s_axi"
type="axi4lite"
dir="end" />
<interface name="mem_clk" internal="mem_clk.in_clk" type="clock" dir="end" />
<interface name="mem_rst" internal="mem_rst.in_reset" type="reset" dir="end" />
<interface
name="rx_data"
internal="xcvr_core.rx_serial_data"
type="conduit"
dir="end" />
<interface
name="rx_ref_clk"
internal="xcvr_rx_ref_clk.in_clk"
type="clock"
dir="end" />
<interface
name="rx_sync"
internal="axi_jesd_xcvr.if_rx_sync"
type="conduit"
dir="end" />
<interface
name="rx_sysref"
internal="axi_jesd_xcvr.if_rx_ext_sysref_in"
type="conduit"
dir="end" />
<interface name="stp_trigger" internal="axi_jesd_xcvr.if_stp_trigger" />
<interface name="sys_clk" internal="sys_clk.in_clk" type="clock" dir="end" />
<interface name="sys_rst" internal="sys_rst.in_reset" type="reset" dir="end" />
<interface
name="tx_data"
internal="xcvr_core.tx_serial_data"
type="conduit"
dir="end" />
<interface
name="tx_ref_clk"
internal="xcvr_tx_ref_clk.in_clk"
type="clock"
dir="end" />
<interface
name="tx_sync"
internal="axi_jesd_xcvr.if_tx_sync"
type="conduit"
dir="end" />
<interface
name="tx_sysref"
internal="axi_jesd_xcvr.if_tx_ext_sysref_in"
type="conduit"
dir="end" />
<interface
name="xcvr_core_jesd204_rx_s_avl"
internal="xcvr_core.jesd204_rx_avs"
type="avalon"
dir="end" />
<interface
name="xcvr_core_jesd204_tx_s_avl"
internal="xcvr_core.jesd204_tx_avs"
type="avalon"
dir="end" />
<interface
name="xcvr_core_reconfig_s_avl"
internal="xcvr_core.reconfig_avmm"
type="avalon"
dir="end" />
<interface
name="xcvr_rx_pll_reconfig_s_avl"
internal="xcvr_rx_pll_reconfig.mgmt_avalon_slave"
type="avalon"
dir="end" />
<interface
name="xcvr_tx_lane_pll_s_avl"
internal="xcvr_tx_lane_pll.reconfig_avmm0"
type="avalon"
dir="end" />
<interface
name="xcvr_tx_pll_reconfig_s_avl"
internal="xcvr_tx_pll_reconfig.mgmt_avalon_slave"
type="avalon"
dir="end" />
<module name="ad9680_adcfifo" kind="util_adcfifo" version="1.0" enabled="1">
<parameter name="ADC_DATA_WIDTH" value="128" />
<parameter name="DMA_ADDRESS_WIDTH" value="16" />
<parameter name="DMA_DATA_WIDTH" value="128" />
<parameter name="DMA_READY_ENABLE" value="1" />
</module>
<module name="axi_ad9152_core" kind="axi_ad9152" version="1.0" enabled="1">
<parameter name="ID" value="0" />
</module>
<module name="axi_ad9152_dma" kind="axi_dmac" version="1.0" enabled="1">
<parameter name="ASYNC_CLK_DEST_REQ" value="1" />
<parameter name="ASYNC_CLK_REQ_SRC" value="1" />
<parameter name="ASYNC_CLK_SRC_DEST" value="1" />
<parameter name="AXI_SLICE_DEST" value="0" />
<parameter name="AXI_SLICE_SRC" value="0" />
<parameter name="CYCLIC" value="1" />
<parameter name="DMA_2D_TRANSFER" value="0" />
<parameter name="DMA_DATA_WIDTH_DEST" value="128" />
<parameter name="DMA_DATA_WIDTH_SRC" value="128" />
<parameter name="DMA_LENGTH_WIDTH" value="14" />
<parameter name="DMA_TYPE_DEST" value="2" />
<parameter name="DMA_TYPE_SRC" value="0" />
<parameter name="FIFO_SIZE" value="4" />
<parameter name="ID" value="0" />
<parameter name="SYNC_TRANSFER_START" value="0" />
</module>
<module name="axi_ad9680_core" kind="axi_ad9680" version="1.0" enabled="1">
<parameter name="ID" value="0" />
</module>
<module name="axi_ad9680_dma" kind="axi_dmac" version="1.0" enabled="1">
<parameter name="ASYNC_CLK_DEST_REQ" value="1" />
<parameter name="ASYNC_CLK_REQ_SRC" value="1" />
<parameter name="ASYNC_CLK_SRC_DEST" value="1" />
<parameter name="AXI_SLICE_DEST" value="0" />
<parameter name="AXI_SLICE_SRC" value="0" />
<parameter name="CYCLIC" value="0" />
<parameter name="DMA_2D_TRANSFER" value="0" />
<parameter name="DMA_DATA_WIDTH_DEST" value="128" />
<parameter name="DMA_DATA_WIDTH_SRC" value="128" />
<parameter name="DMA_LENGTH_WIDTH" value="24" />
<parameter name="DMA_TYPE_DEST" value="0" />
<parameter name="DMA_TYPE_SRC" value="1" />
<parameter name="FIFO_SIZE" value="4" />
<parameter name="ID" value="0" />
<parameter name="SYNC_TRANSFER_START" value="1" />
</module>
<module name="axi_jesd_xcvr" kind="axi_jesd_xcvr" version="1.0" enabled="1">
<parameter name="DEVICE_TYPE" value="0" />
<parameter name="ID" value="0" />
<parameter name="RX_NUM_OF_LANES" value="4" />
<parameter name="TX_NUM_OF_LANES" value="4" />
</module>
<module name="mem_clk" kind="altera_clock_bridge" version="15.1" enabled="1">
<parameter name="DERIVED_CLOCK_RATE" value="0" />
<parameter name="EXPLICIT_CLOCK_RATE" value="125000000" />
<parameter name="NUM_CLOCK_OUTPUTS" value="1" />
</module>
<module name="mem_rst" kind="altera_reset_bridge" version="15.1" enabled="1">
<parameter name="ACTIVE_LOW_RESET" value="0" />
<parameter name="AUTO_CLK_CLOCK_RATE" value="125000000" />
<parameter name="NUM_RESET_OUTPUTS" value="1" />
<parameter name="SYNCHRONOUS_EDGES" value="deassert" />
<parameter name="USE_RESET_REQUEST" value="0" />
</module>
<module name="sys_clk" kind="altera_clock_bridge" version="15.1" enabled="1">
<parameter name="DERIVED_CLOCK_RATE" value="0" />
<parameter name="EXPLICIT_CLOCK_RATE" value="100000000" />
<parameter name="NUM_CLOCK_OUTPUTS" value="1" />
</module>
<module name="sys_rst" kind="altera_reset_bridge" version="15.1" enabled="1">
<parameter name="ACTIVE_LOW_RESET" value="0" />
<parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
<parameter name="NUM_RESET_OUTPUTS" value="1" />
<parameter name="SYNCHRONOUS_EDGES" value="deassert" />
<parameter name="USE_RESET_REQUEST" value="0" />
</module>
<module name="util_cpack_0" kind="util_cpack" version="1.0" enabled="1">
<parameter name="CHANNEL_DATA_WIDTH" value="64" />
<parameter name="NUM_OF_CHANNELS" value="2" />
</module>
<module name="util_upack_0" kind="util_upack" version="1.0" enabled="1">
<parameter name="CHANNEL_DATA_WIDTH" value="64" />
<parameter name="NUM_OF_CHANNELS" value="2" />
</module>
<module name="xcvr_core" kind="altera_jesd204" version="15.1" enabled="1">
<parameter name="ADJCNT" value="0" />
<parameter name="ADJDIR" value="0" />
<parameter name="AUTO_DEVICE" value="10AX115S3F45E2SGE3" />
<parameter name="AUTO_DEVICE_SPEEDGRADE" value="2" />
<parameter name="BID" value="0" />
<parameter name="CF" value="0" />
<parameter name="CS" value="0" />
<parameter name="DATA_PATH" value="RX_TX" />
<parameter name="DEVICE_FAMILY" value="Arria 10" />
<parameter name="DID" value="0" />
<parameter name="DLB_TEST" value="0" />
<parameter name="ECC_EN" value="0" />
<parameter name="ED_DEV_KIT" value="NONE" />
<parameter name="ED_FILESET_SIM" value="false" />
<parameter name="ED_FILESET_SYNTH" value="false" />
<parameter name="ED_GENERIC_5SERIES" value="No" />
<parameter name="ED_GENERIC_A10" value="No" />
<parameter name="ED_HDL_FORMAT_SIM" value="VERILOG" />
<parameter name="ED_HDL_FORMAT_SYNTH" value="VERILOG" />
<parameter name="GUI_CFG_F" value="1" />
<parameter name="GUI_EN_CFG_F" value="true" />
<parameter name="HD" value="1" />
<parameter name="JESDV" value="1" />
<parameter name="K" value="32" />
<parameter name="L" value="4" />
<parameter name="LID0" value="0" />
<parameter name="LID1" value="1" />
<parameter name="LID2" value="2" />
<parameter name="LID3" value="3" />
<parameter name="LID4" value="4" />
<parameter name="LID5" value="5" />
<parameter name="LID6" value="6" />
<parameter name="LID7" value="7" />
<parameter name="M" value="2" />
<parameter name="N" value="16" />
<parameter name="N_PRIME" value="16" />
<parameter name="OPTIMIZE" value="0" />
<parameter name="PCS_CONFIG" value="JESD_PCS_CFG2" />
<parameter name="PHADJ" value="0" />
<parameter name="REFCLK_FREQ" value="500.0" />
<parameter name="RES1" value="0" />
<parameter name="RES2" value="0" />
<parameter name="S" value="1" />
<parameter name="SCR" value="1" />
<parameter name="SUBCLASSV" value="1" />
<parameter name="TERMINATE_RECONFIG_EN" value="false" />
<parameter name="TEST_COMPONENTS_EN" value="false" />
<parameter name="bitrev_en" value="false" />
<parameter name="bonded_mode" value="non_bonded" />
<parameter name="lane_rate" value="10000.0" />
<parameter name="part_trait_bd" value="NIGHTFURY5" />
<parameter name="part_trait_dp" value="10AX115S3F45E2SGE3" />
<parameter name="pll_reconfig_enable" value="true" />
<parameter name="pll_type" value="CMU" />
<parameter name="rcfg_jtag_enable" value="false" />
<parameter name="sdc_constraint" value="1.0" />
<parameter name="set_capability_reg_enable" value="true" />
<parameter name="set_csr_soft_logic_enable" value="true" />
<parameter name="set_prbs_soft_logic_enable" value="false" />
<parameter name="set_user_identifier" value="0" />
<parameter name="wrapper_opt" value="base_phy" />
</module>
<module
name="xcvr_rst_cntrl"
kind="altera_xcvr_reset_control"
version="15.1"
enabled="1">
<parameter name="CHANNELS" value="4" />
<parameter name="PLLS" value="1" />
<parameter name="REDUCED_SIM_TIME" value="1" />
<parameter name="RX_ENABLE" value="1" />
<parameter name="RX_PER_CHANNEL" value="0" />
<parameter name="SYNCHRONIZE_PLL_RESET" value="0" />
<parameter name="SYNCHRONIZE_RESET" value="1" />
<parameter name="SYS_CLK_IN_MHZ" value="100" />
<parameter name="TX_ENABLE" value="1" />
<parameter name="TX_PER_CHANNEL" value="0" />
<parameter name="TX_PLL_ENABLE" value="1" />
<parameter name="T_PLL_LOCK_HYST" value="0" />
<parameter name="T_PLL_POWERDOWN" value="1000" />
<parameter name="T_RX_ANALOGRESET" value="70000" />
<parameter name="T_RX_DIGITALRESET" value="4000" />
<parameter name="T_TX_ANALOGRESET" value="70000" />
<parameter name="T_TX_DIGITALRESET" value="70000" />
<parameter name="device_family" value="Arria 10" />
<parameter name="gui_pll_cal_busy" value="1" />
<parameter name="gui_rx_auto_reset" value="0" />
<parameter name="gui_split_interfaces" value="0" />
<parameter name="gui_tx_auto_reset" value="0" />
</module>
<module name="xcvr_rx_pll" kind="altera_iopll" version="15.1" enabled="1">
<parameter name="gui_active_clk" value="false" />
<parameter name="gui_actual_duty_cycle0" value="50.0" />
<parameter name="gui_actual_duty_cycle1" value="50.0" />
<parameter name="gui_actual_duty_cycle10" value="50.0" />
<parameter name="gui_actual_duty_cycle11" value="50.0" />
<parameter name="gui_actual_duty_cycle12" value="50.0" />
<parameter name="gui_actual_duty_cycle13" value="50.0" />
<parameter name="gui_actual_duty_cycle14" value="50.0" />
<parameter name="gui_actual_duty_cycle15" value="50.0" />
<parameter name="gui_actual_duty_cycle16" value="50.0" />
<parameter name="gui_actual_duty_cycle17" value="50.0" />
<parameter name="gui_actual_duty_cycle2" value="50.0" />
<parameter name="gui_actual_duty_cycle3" value="50.0" />
<parameter name="gui_actual_duty_cycle4" value="50.0" />
<parameter name="gui_actual_duty_cycle5" value="50.0" />
<parameter name="gui_actual_duty_cycle6" value="50.0" />
<parameter name="gui_actual_duty_cycle7" value="50.0" />
<parameter name="gui_actual_duty_cycle8" value="50.0" />
<parameter name="gui_actual_duty_cycle9" value="50.0" />
<parameter name="gui_actual_output_clock_frequency0" value="100.0" />
<parameter name="gui_actual_output_clock_frequency1" value="100.0" />
<parameter name="gui_actual_output_clock_frequency10" value="100.0" />
<parameter name="gui_actual_output_clock_frequency11" value="100.0" />
<parameter name="gui_actual_output_clock_frequency12" value="100.0" />
<parameter name="gui_actual_output_clock_frequency13" value="100.0" />
<parameter name="gui_actual_output_clock_frequency14" value="100.0" />
<parameter name="gui_actual_output_clock_frequency15" value="100.0" />
<parameter name="gui_actual_output_clock_frequency16" value="100.0" />
<parameter name="gui_actual_output_clock_frequency17" value="100.0" />
<parameter name="gui_actual_output_clock_frequency2" value="100.0" />
<parameter name="gui_actual_output_clock_frequency3" value="100.0" />
<parameter name="gui_actual_output_clock_frequency4" value="100.0" />
<parameter name="gui_actual_output_clock_frequency5" value="100.0" />
<parameter name="gui_actual_output_clock_frequency6" value="100.0" />
<parameter name="gui_actual_output_clock_frequency7" value="100.0" />
<parameter name="gui_actual_output_clock_frequency8" value="100.0" />
<parameter name="gui_actual_output_clock_frequency9" value="100.0" />
<parameter name="gui_actual_phase_shift0" value="0.0" />
<parameter name="gui_actual_phase_shift1" value="0.0" />
<parameter name="gui_actual_phase_shift10" value="0.0" />
<parameter name="gui_actual_phase_shift11" value="0.0" />
<parameter name="gui_actual_phase_shift12" value="0.0" />
<parameter name="gui_actual_phase_shift13" value="0.0" />
<parameter name="gui_actual_phase_shift14" value="0.0" />
<parameter name="gui_actual_phase_shift15" value="0.0" />
<parameter name="gui_actual_phase_shift16" value="0.0" />
<parameter name="gui_actual_phase_shift17" value="0.0" />
<parameter name="gui_actual_phase_shift2" value="0.0" />
<parameter name="gui_actual_phase_shift3" value="0.0" />
<parameter name="gui_actual_phase_shift4" value="0.0" />
<parameter name="gui_actual_phase_shift5" value="0.0" />
<parameter name="gui_actual_phase_shift6" value="0.0" />
<parameter name="gui_actual_phase_shift7" value="0.0" />
<parameter name="gui_actual_phase_shift8" value="0.0" />
<parameter name="gui_actual_phase_shift9" value="0.0" />
<parameter name="gui_actual_phase_shift_deg0" value="0.0" />
<parameter name="gui_actual_phase_shift_deg1" value="0.0" />
<parameter name="gui_actual_phase_shift_deg10" value="0.0" />
<parameter name="gui_actual_phase_shift_deg11" value="0.0" />
<parameter name="gui_actual_phase_shift_deg12" value="0.0" />
<parameter name="gui_actual_phase_shift_deg13" value="0.0" />
<parameter name="gui_actual_phase_shift_deg14" value="0.0" />
<parameter name="gui_actual_phase_shift_deg15" value="0.0" />
<parameter name="gui_actual_phase_shift_deg16" value="0.0" />
<parameter name="gui_actual_phase_shift_deg17" value="0.0" />
<parameter name="gui_actual_phase_shift_deg2" value="0.0" />
<parameter name="gui_actual_phase_shift_deg3" value="0.0" />
<parameter name="gui_actual_phase_shift_deg4" value="0.0" />
<parameter name="gui_actual_phase_shift_deg5" value="0.0" />
<parameter name="gui_actual_phase_shift_deg6" value="0.0" />
<parameter name="gui_actual_phase_shift_deg7" value="0.0" />
<parameter name="gui_actual_phase_shift_deg8" value="0.0" />
<parameter name="gui_actual_phase_shift_deg9" value="0.0" />
<parameter name="gui_cascade_counter0" value="false" />
<parameter name="gui_cascade_counter1" value="false" />
<parameter name="gui_cascade_counter10" value="false" />
<parameter name="gui_cascade_counter11" value="false" />
<parameter name="gui_cascade_counter12" value="false" />
<parameter name="gui_cascade_counter13" value="false" />
<parameter name="gui_cascade_counter14" value="false" />
<parameter name="gui_cascade_counter15" value="false" />
<parameter name="gui_cascade_counter16" value="false" />
<parameter name="gui_cascade_counter17" value="false" />
<parameter name="gui_cascade_counter2" value="false" />
<parameter name="gui_cascade_counter3" value="false" />
<parameter name="gui_cascade_counter4" value="false" />
<parameter name="gui_cascade_counter5" value="false" />
<parameter name="gui_cascade_counter6" value="false" />
<parameter name="gui_cascade_counter7" value="false" />
<parameter name="gui_cascade_counter8" value="false" />
<parameter name="gui_cascade_counter9" value="false" />
<parameter name="gui_cascade_outclk_index" value="0" />
<parameter name="gui_clk_bad" value="false" />
<parameter name="gui_clock_name_global0" value="false" />
<parameter name="gui_clock_name_global1" value="false" />
<parameter name="gui_clock_name_global10" value="false" />
<parameter name="gui_clock_name_global11" value="false" />
<parameter name="gui_clock_name_global12" value="false" />
<parameter name="gui_clock_name_global13" value="false" />
<parameter name="gui_clock_name_global14" value="false" />
<parameter name="gui_clock_name_global15" value="false" />
<parameter name="gui_clock_name_global16" value="false" />
<parameter name="gui_clock_name_global17" value="false" />
<parameter name="gui_clock_name_global2" value="false" />
<parameter name="gui_clock_name_global3" value="false" />
<parameter name="gui_clock_name_global4" value="false" />
<parameter name="gui_clock_name_global5" value="false" />
<parameter name="gui_clock_name_global6" value="false" />
<parameter name="gui_clock_name_global7" value="false" />
<parameter name="gui_clock_name_global8" value="false" />
<parameter name="gui_clock_name_global9" value="false" />
<parameter name="gui_clock_name_string0" value="outclk0" />
<parameter name="gui_clock_name_string1" value="outclk1" />
<parameter name="gui_clock_name_string10" value="outclk10" />
<parameter name="gui_clock_name_string11" value="outclk11" />
<parameter name="gui_clock_name_string12" value="outclk12" />
<parameter name="gui_clock_name_string13" value="outclk13" />
<parameter name="gui_clock_name_string14" value="outclk14" />
<parameter name="gui_clock_name_string15" value="outclk15" />
<parameter name="gui_clock_name_string16" value="outclk16" />
<parameter name="gui_clock_name_string17" value="outclk17" />
<parameter name="gui_clock_name_string2" value="outclk2" />
<parameter name="gui_clock_name_string3" value="outclk3" />
<parameter name="gui_clock_name_string4" value="outclk4" />
<parameter name="gui_clock_name_string5" value="outclk5" />
<parameter name="gui_clock_name_string6" value="outclk6" />
<parameter name="gui_clock_name_string7" value="outclk7" />
<parameter name="gui_clock_name_string8" value="outclk8" />
<parameter name="gui_clock_name_string9" value="outclk9" />
<parameter name="gui_device_speed_grade" value="1" />
<parameter name="gui_divide_factor_c0" value="6" />
<parameter name="gui_divide_factor_c1" value="6" />
<parameter name="gui_divide_factor_c10" value="6" />
<parameter name="gui_divide_factor_c11" value="6" />
<parameter name="gui_divide_factor_c12" value="6" />
<parameter name="gui_divide_factor_c13" value="6" />
<parameter name="gui_divide_factor_c14" value="6" />
<parameter name="gui_divide_factor_c15" value="6" />
<parameter name="gui_divide_factor_c16" value="6" />
<parameter name="gui_divide_factor_c17" value="6" />
<parameter name="gui_divide_factor_c2" value="6" />
<parameter name="gui_divide_factor_c3" value="6" />
<parameter name="gui_divide_factor_c4" value="6" />
<parameter name="gui_divide_factor_c5" value="6" />
<parameter name="gui_divide_factor_c6" value="6" />
<parameter name="gui_divide_factor_c7" value="6" />
<parameter name="gui_divide_factor_c8" value="6" />
<parameter name="gui_divide_factor_c9" value="6" />
<parameter name="gui_divide_factor_n" value="1" />
<parameter name="gui_dps_cntr" value="C0" />
<parameter name="gui_dps_dir" value="Positive" />
<parameter name="gui_dps_num" value="1" />
<parameter name="gui_dsm_out_sel" value="1st_order" />
<parameter name="gui_duty_cycle0" value="50.0" />
<parameter name="gui_duty_cycle1" value="50.0" />
<parameter name="gui_duty_cycle10" value="50.0" />
<parameter name="gui_duty_cycle11" value="50.0" />
<parameter name="gui_duty_cycle12" value="50.0" />
<parameter name="gui_duty_cycle13" value="50.0" />
<parameter name="gui_duty_cycle14" value="50.0" />
<parameter name="gui_duty_cycle15" value="50.0" />
<parameter name="gui_duty_cycle16" value="50.0" />
<parameter name="gui_duty_cycle17" value="50.0" />
<parameter name="gui_duty_cycle2" value="50.0" />
<parameter name="gui_duty_cycle3" value="50.0" />
<parameter name="gui_duty_cycle4" value="50.0" />
<parameter name="gui_duty_cycle5" value="50.0" />
<parameter name="gui_duty_cycle6" value="50.0" />
<parameter name="gui_duty_cycle7" value="50.0" />
<parameter name="gui_duty_cycle8" value="50.0" />
<parameter name="gui_duty_cycle9" value="50.0" />
<parameter name="gui_en_adv_params" value="false" />
<parameter name="gui_en_dps_ports" value="false" />
<parameter name="gui_en_extclkout_ports" value="false" />
<parameter name="gui_en_lvds_ports" value="Disabled" />
<parameter name="gui_en_phout_ports" value="false" />
<parameter name="gui_en_reconf" value="true" />
<parameter name="gui_enable_cascade_in" value="false" />
<parameter name="gui_enable_cascade_out" value="false" />
<parameter name="gui_enable_mif_dps" value="false" />
<parameter name="gui_enable_output_counter_cascading" value="false" />
<parameter name="gui_extclkout_0_source" value="C0" />
<parameter name="gui_extclkout_1_source" value="C0" />
<parameter name="gui_feedback_clock" value="Global Clock" />
<parameter name="gui_fix_vco_frequency" value="false" />
<parameter name="gui_fixed_vco_frequency" value="600.0" />
<parameter name="gui_frac_multiply_factor" value="1" />
<parameter name="gui_fractional_cout" value="32" />
<parameter name="gui_mif_generate" value="false" />
<parameter name="gui_multiply_factor" value="6" />
<parameter name="gui_number_of_clocks" value="1" />
<parameter name="gui_operation_mode" value="direct" />
<parameter name="gui_output_clock_frequency0" value="250.0" />
<parameter name="gui_output_clock_frequency1" value="100.0" />
<parameter name="gui_output_clock_frequency10" value="100.0" />
<parameter name="gui_output_clock_frequency11" value="100.0" />
<parameter name="gui_output_clock_frequency12" value="100.0" />
<parameter name="gui_output_clock_frequency13" value="100.0" />
<parameter name="gui_output_clock_frequency14" value="100.0" />
<parameter name="gui_output_clock_frequency15" value="100.0" />
<parameter name="gui_output_clock_frequency16" value="100.0" />
<parameter name="gui_output_clock_frequency17" value="100.0" />
<parameter name="gui_output_clock_frequency2" value="100.0" />
<parameter name="gui_output_clock_frequency3" value="100.0" />
<parameter name="gui_output_clock_frequency4" value="100.0" />
<parameter name="gui_output_clock_frequency5" value="100.0" />
<parameter name="gui_output_clock_frequency6" value="100.0" />
<parameter name="gui_output_clock_frequency7" value="100.0" />
<parameter name="gui_output_clock_frequency8" value="100.0" />
<parameter name="gui_output_clock_frequency9" value="100.0" />
<parameter name="gui_phase_shift0" value="0.0" />
<parameter name="gui_phase_shift1" value="0.0" />
<parameter name="gui_phase_shift10" value="0.0" />
<parameter name="gui_phase_shift11" value="0.0" />
<parameter name="gui_phase_shift12" value="0.0" />
<parameter name="gui_phase_shift13" value="0.0" />
<parameter name="gui_phase_shift14" value="0.0" />
<parameter name="gui_phase_shift15" value="0.0" />
<parameter name="gui_phase_shift16" value="0.0" />
<parameter name="gui_phase_shift17" value="0.0" />
<parameter name="gui_phase_shift2" value="0.0" />
<parameter name="gui_phase_shift3" value="0.0" />
<parameter name="gui_phase_shift4" value="0.0" />
<parameter name="gui_phase_shift5" value="0.0" />
<parameter name="gui_phase_shift6" value="0.0" />
<parameter name="gui_phase_shift7" value="0.0" />
<parameter name="gui_phase_shift8" value="0.0" />
<parameter name="gui_phase_shift9" value="0.0" />
<parameter name="gui_phase_shift_deg0" value="0.0" />
<parameter name="gui_phase_shift_deg1" value="0.0" />
<parameter name="gui_phase_shift_deg10" value="0.0" />
<parameter name="gui_phase_shift_deg11" value="0.0" />
<parameter name="gui_phase_shift_deg12" value="0.0" />
<parameter name="gui_phase_shift_deg13" value="0.0" />
<parameter name="gui_phase_shift_deg14" value="0.0" />
<parameter name="gui_phase_shift_deg15" value="0.0" />
<parameter name="gui_phase_shift_deg16" value="0.0" />
<parameter name="gui_phase_shift_deg17" value="0.0" />
<parameter name="gui_phase_shift_deg2" value="0.0" />
<parameter name="gui_phase_shift_deg3" value="0.0" />
<parameter name="gui_phase_shift_deg4" value="0.0" />
<parameter name="gui_phase_shift_deg5" value="0.0" />
<parameter name="gui_phase_shift_deg6" value="0.0" />
<parameter name="gui_phase_shift_deg7" value="0.0" />
<parameter name="gui_phase_shift_deg8" value="0.0" />
<parameter name="gui_phase_shift_deg9" value="0.0" />
<parameter name="gui_phout_division" value="1" />
<parameter name="gui_pll_auto_reset" value="false" />
<parameter name="gui_pll_bandwidth_preset" value="Low" />
<parameter name="gui_pll_cascading_mode" value="adjpllin" />
<parameter name="gui_pll_mode" value="Integer-N PLL" />
<parameter name="gui_ps_units0" value="ps" />
<parameter name="gui_ps_units1" value="ps" />
<parameter name="gui_ps_units10" value="ps" />
<parameter name="gui_ps_units11" value="ps" />
<parameter name="gui_ps_units12" value="ps" />
<parameter name="gui_ps_units13" value="ps" />
<parameter name="gui_ps_units14" value="ps" />
<parameter name="gui_ps_units15" value="ps" />
<parameter name="gui_ps_units16" value="ps" />
<parameter name="gui_ps_units17" value="ps" />
<parameter name="gui_ps_units2" value="ps" />
<parameter name="gui_ps_units3" value="ps" />
<parameter name="gui_ps_units4" value="ps" />
<parameter name="gui_ps_units5" value="ps" />
<parameter name="gui_ps_units6" value="ps" />
<parameter name="gui_ps_units7" value="ps" />
<parameter name="gui_ps_units8" value="ps" />
<parameter name="gui_ps_units9" value="ps" />
<parameter name="gui_refclk1_frequency" value="100.0" />
<parameter name="gui_refclk_switch" value="false" />
<parameter name="gui_reference_clock_frequency" value="500.0" />
<parameter name="gui_switchover_delay" value="0" />
<parameter name="gui_switchover_mode">Automatic Switchover</parameter>
<parameter name="gui_use_NDFB_modes" value="false" />
<parameter name="gui_use_locked" value="false" />
<parameter name="gui_vco_frequency" value="600.0" />
<parameter name="system_info_device_component" value="10AX115S3F45E2SGE3" />
<parameter name="system_info_device_family" value="Arria 10" />
<parameter name="system_info_device_speed_grade" value="2" />
<parameter name="system_part_trait_speed_grade" value="2" />
</module>
<module
name="xcvr_rx_pll_reconfig"
kind="altera_pll_reconfig"
version="15.1"
enabled="1">
<parameter name="ENABLE_BYTEENABLE" value="false" />
<parameter name="ENABLE_MIF" value="false" />
<parameter name="MIF_FILE_NAME" value="" />
<parameter name="device_family" value="Arria 10" />
</module>
<module
name="xcvr_rx_ref_clk"
kind="altera_clock_bridge"
version="15.1"
enabled="1">
<parameter name="DERIVED_CLOCK_RATE" value="0" />
<parameter name="EXPLICIT_CLOCK_RATE" value="500000000" />
<parameter name="NUM_CLOCK_OUTPUTS" value="1" />
</module>
<module
name="xcvr_tx_lane_pll"
kind="altera_xcvr_atx_pll_a10"
version="15.1"
enabled="1">
<parameter name="base_device" value="NIGHTFURY5" />
<parameter name="bw_sel" value="medium" />
<parameter name="device" value="10AX115S3F45E2SGE3" />
<parameter name="device_family" value="Arria 10" />
<parameter name="enable_16G_path" value="0" />
<parameter name="enable_8G_path" value="1" />
<parameter name="enable_analog_resets" value="0" />
<parameter name="enable_atx_to_fpll_cascade_out" value="0" />
<parameter name="enable_bonding_clks" value="0" />
<parameter name="enable_cascade_out" value="0" />
<parameter name="enable_debug_ports_parameters" value="0" />
<parameter name="enable_fb_comp_bonding" value="0" />
<parameter name="enable_fractional" value="0" />
<parameter name="enable_hfreq_clk" value="0" />
<parameter name="enable_hip_cal_done_port" value="0" />
<parameter name="enable_manual_configuration" value="1" />
<parameter name="enable_mcgb" value="0" />
<parameter name="enable_mcgb_pcie_clksw" value="0" />
<parameter name="enable_pcie_clk" value="0" />
<parameter name="enable_pld_atx_cal_busy_port" value="1" />
<parameter name="enable_pld_mcgb_cal_busy_port" value="0" />
<parameter name="enable_pll_reconfig" value="1" />
<parameter name="generate_add_hdl_instance_example" value="0" />
<parameter name="generate_docs" value="1" />
<parameter name="mcgb_aux_clkin_cnt" value="0" />
<parameter name="mcgb_div" value="1" />
<parameter name="message_level" value="error" />
<parameter name="pma_width" value="64" />
<parameter name="primary_pll_buffer">GX clock output buffer</parameter>
<parameter name="prot_mode" value="Basic" />
<parameter name="rcfg_debug" value="0" />
<parameter name="rcfg_enable_avmm_busy_port" value="0" />
<parameter name="rcfg_file_prefix">altera_xcvr_atx_pll_a10</parameter>
<parameter name="rcfg_h_file_enable" value="0" />
<parameter name="rcfg_jtag_enable" value="0" />
<parameter name="rcfg_mif_file_enable" value="0" />
<parameter name="rcfg_multi_enable" value="0" />
<parameter name="rcfg_param_vals1" value="" />
<parameter name="rcfg_param_vals2" value="" />
<parameter name="rcfg_profile_cnt" value="2" />
<parameter name="rcfg_profile_select" value="1" />
<parameter name="rcfg_separate_avmm_busy" value="1" />
<parameter name="rcfg_sv_file_enable" value="0" />
<parameter name="rcfg_txt_file_enable" value="0" />
<parameter name="refclk_cnt" value="1" />
<parameter name="refclk_index" value="0" />
<parameter name="select_manual_config" value="false" />
<parameter name="set_altera_xcvr_atx_pll_a10_calibration_en" value="1" />
<parameter name="set_auto_reference_clock_frequency" value="500.0" />
<parameter name="set_capability_reg_enable" value="1" />
<parameter name="set_csr_soft_logic_enable" value="1" />
<parameter name="set_fref_clock_frequency" value="156.25" />
<parameter name="set_hip_cal_en" value="0" />
<parameter name="set_k_counter" value="2000000000" />
<parameter name="set_l_cascade_counter" value="15" />
<parameter name="set_l_cascade_predivider" value="1" />
<parameter name="set_l_counter" value="16" />
<parameter name="set_m_counter" value="24" />
<parameter name="set_manual_reference_clock_frequency" value="200.0" />
<parameter name="set_output_clock_frequency" value="5000.0" />
<parameter name="set_ref_clk_div" value="1" />
<parameter name="set_user_identifier" value="0" />
<parameter name="silicon_rev" value="false" />
<parameter name="support_mode" value="user_mode" />
<parameter name="test_mode" value="0" />
</module>
<module name="xcvr_tx_pll" kind="altera_iopll" version="15.1" enabled="1">
<parameter name="gui_active_clk" value="false" />
<parameter name="gui_actual_duty_cycle0" value="50.0" />
<parameter name="gui_actual_duty_cycle1" value="50.0" />
<parameter name="gui_actual_duty_cycle10" value="50.0" />
<parameter name="gui_actual_duty_cycle11" value="50.0" />
<parameter name="gui_actual_duty_cycle12" value="50.0" />
<parameter name="gui_actual_duty_cycle13" value="50.0" />
<parameter name="gui_actual_duty_cycle14" value="50.0" />
<parameter name="gui_actual_duty_cycle15" value="50.0" />
<parameter name="gui_actual_duty_cycle16" value="50.0" />
<parameter name="gui_actual_duty_cycle17" value="50.0" />
<parameter name="gui_actual_duty_cycle2" value="50.0" />
<parameter name="gui_actual_duty_cycle3" value="50.0" />
<parameter name="gui_actual_duty_cycle4" value="50.0" />
<parameter name="gui_actual_duty_cycle5" value="50.0" />
<parameter name="gui_actual_duty_cycle6" value="50.0" />
<parameter name="gui_actual_duty_cycle7" value="50.0" />
<parameter name="gui_actual_duty_cycle8" value="50.0" />
<parameter name="gui_actual_duty_cycle9" value="50.0" />
<parameter name="gui_actual_output_clock_frequency0" value="100.0" />
<parameter name="gui_actual_output_clock_frequency1" value="100.0" />
<parameter name="gui_actual_output_clock_frequency10" value="100.0" />
<parameter name="gui_actual_output_clock_frequency11" value="100.0" />
<parameter name="gui_actual_output_clock_frequency12" value="100.0" />
<parameter name="gui_actual_output_clock_frequency13" value="100.0" />
<parameter name="gui_actual_output_clock_frequency14" value="100.0" />
<parameter name="gui_actual_output_clock_frequency15" value="100.0" />
<parameter name="gui_actual_output_clock_frequency16" value="100.0" />
<parameter name="gui_actual_output_clock_frequency17" value="100.0" />
<parameter name="gui_actual_output_clock_frequency2" value="100.0" />
<parameter name="gui_actual_output_clock_frequency3" value="100.0" />
<parameter name="gui_actual_output_clock_frequency4" value="100.0" />
<parameter name="gui_actual_output_clock_frequency5" value="100.0" />
<parameter name="gui_actual_output_clock_frequency6" value="100.0" />
<parameter name="gui_actual_output_clock_frequency7" value="100.0" />
<parameter name="gui_actual_output_clock_frequency8" value="100.0" />
<parameter name="gui_actual_output_clock_frequency9" value="100.0" />
<parameter name="gui_actual_phase_shift0" value="0.0" />
<parameter name="gui_actual_phase_shift1" value="0.0" />
<parameter name="gui_actual_phase_shift10" value="0.0" />
<parameter name="gui_actual_phase_shift11" value="0.0" />
<parameter name="gui_actual_phase_shift12" value="0.0" />
<parameter name="gui_actual_phase_shift13" value="0.0" />
<parameter name="gui_actual_phase_shift14" value="0.0" />
<parameter name="gui_actual_phase_shift15" value="0.0" />
<parameter name="gui_actual_phase_shift16" value="0.0" />
<parameter name="gui_actual_phase_shift17" value="0.0" />
<parameter name="gui_actual_phase_shift2" value="0.0" />
<parameter name="gui_actual_phase_shift3" value="0.0" />
<parameter name="gui_actual_phase_shift4" value="0.0" />
<parameter name="gui_actual_phase_shift5" value="0.0" />
<parameter name="gui_actual_phase_shift6" value="0.0" />
<parameter name="gui_actual_phase_shift7" value="0.0" />
<parameter name="gui_actual_phase_shift8" value="0.0" />
<parameter name="gui_actual_phase_shift9" value="0.0" />
<parameter name="gui_actual_phase_shift_deg0" value="0.0" />
<parameter name="gui_actual_phase_shift_deg1" value="0.0" />
<parameter name="gui_actual_phase_shift_deg10" value="0.0" />
<parameter name="gui_actual_phase_shift_deg11" value="0.0" />
<parameter name="gui_actual_phase_shift_deg12" value="0.0" />
<parameter name="gui_actual_phase_shift_deg13" value="0.0" />
<parameter name="gui_actual_phase_shift_deg14" value="0.0" />
<parameter name="gui_actual_phase_shift_deg15" value="0.0" />
<parameter name="gui_actual_phase_shift_deg16" value="0.0" />
<parameter name="gui_actual_phase_shift_deg17" value="0.0" />
<parameter name="gui_actual_phase_shift_deg2" value="0.0" />
<parameter name="gui_actual_phase_shift_deg3" value="0.0" />
<parameter name="gui_actual_phase_shift_deg4" value="0.0" />
<parameter name="gui_actual_phase_shift_deg5" value="0.0" />
<parameter name="gui_actual_phase_shift_deg6" value="0.0" />
<parameter name="gui_actual_phase_shift_deg7" value="0.0" />
<parameter name="gui_actual_phase_shift_deg8" value="0.0" />
<parameter name="gui_actual_phase_shift_deg9" value="0.0" />
<parameter name="gui_cascade_counter0" value="false" />
<parameter name="gui_cascade_counter1" value="false" />
<parameter name="gui_cascade_counter10" value="false" />
<parameter name="gui_cascade_counter11" value="false" />
<parameter name="gui_cascade_counter12" value="false" />
<parameter name="gui_cascade_counter13" value="false" />
<parameter name="gui_cascade_counter14" value="false" />
<parameter name="gui_cascade_counter15" value="false" />
<parameter name="gui_cascade_counter16" value="false" />
<parameter name="gui_cascade_counter17" value="false" />
<parameter name="gui_cascade_counter2" value="false" />
<parameter name="gui_cascade_counter3" value="false" />
<parameter name="gui_cascade_counter4" value="false" />
<parameter name="gui_cascade_counter5" value="false" />
<parameter name="gui_cascade_counter6" value="false" />
<parameter name="gui_cascade_counter7" value="false" />
<parameter name="gui_cascade_counter8" value="false" />
<parameter name="gui_cascade_counter9" value="false" />
<parameter name="gui_cascade_outclk_index" value="0" />
<parameter name="gui_clk_bad" value="false" />
<parameter name="gui_clock_name_global0" value="false" />
<parameter name="gui_clock_name_global1" value="false" />
<parameter name="gui_clock_name_global10" value="false" />
<parameter name="gui_clock_name_global11" value="false" />
<parameter name="gui_clock_name_global12" value="false" />
<parameter name="gui_clock_name_global13" value="false" />
<parameter name="gui_clock_name_global14" value="false" />
<parameter name="gui_clock_name_global15" value="false" />
<parameter name="gui_clock_name_global16" value="false" />
<parameter name="gui_clock_name_global17" value="false" />
<parameter name="gui_clock_name_global2" value="false" />
<parameter name="gui_clock_name_global3" value="false" />
<parameter name="gui_clock_name_global4" value="false" />
<parameter name="gui_clock_name_global5" value="false" />
<parameter name="gui_clock_name_global6" value="false" />
<parameter name="gui_clock_name_global7" value="false" />
<parameter name="gui_clock_name_global8" value="false" />
<parameter name="gui_clock_name_global9" value="false" />
<parameter name="gui_clock_name_string0" value="outclk0" />
<parameter name="gui_clock_name_string1" value="outclk1" />
<parameter name="gui_clock_name_string10" value="outclk10" />
<parameter name="gui_clock_name_string11" value="outclk11" />
<parameter name="gui_clock_name_string12" value="outclk12" />
<parameter name="gui_clock_name_string13" value="outclk13" />
<parameter name="gui_clock_name_string14" value="outclk14" />
<parameter name="gui_clock_name_string15" value="outclk15" />
<parameter name="gui_clock_name_string16" value="outclk16" />
<parameter name="gui_clock_name_string17" value="outclk17" />
<parameter name="gui_clock_name_string2" value="outclk2" />
<parameter name="gui_clock_name_string3" value="outclk3" />
<parameter name="gui_clock_name_string4" value="outclk4" />
<parameter name="gui_clock_name_string5" value="outclk5" />
<parameter name="gui_clock_name_string6" value="outclk6" />
<parameter name="gui_clock_name_string7" value="outclk7" />
<parameter name="gui_clock_name_string8" value="outclk8" />
<parameter name="gui_clock_name_string9" value="outclk9" />
<parameter name="gui_device_speed_grade" value="1" />
<parameter name="gui_divide_factor_c0" value="6" />
<parameter name="gui_divide_factor_c1" value="6" />
<parameter name="gui_divide_factor_c10" value="6" />
<parameter name="gui_divide_factor_c11" value="6" />
<parameter name="gui_divide_factor_c12" value="6" />
<parameter name="gui_divide_factor_c13" value="6" />
<parameter name="gui_divide_factor_c14" value="6" />
<parameter name="gui_divide_factor_c15" value="6" />
<parameter name="gui_divide_factor_c16" value="6" />
<parameter name="gui_divide_factor_c17" value="6" />
<parameter name="gui_divide_factor_c2" value="6" />
<parameter name="gui_divide_factor_c3" value="6" />
<parameter name="gui_divide_factor_c4" value="6" />
<parameter name="gui_divide_factor_c5" value="6" />
<parameter name="gui_divide_factor_c6" value="6" />
<parameter name="gui_divide_factor_c7" value="6" />
<parameter name="gui_divide_factor_c8" value="6" />
<parameter name="gui_divide_factor_c9" value="6" />
<parameter name="gui_divide_factor_n" value="1" />
<parameter name="gui_dps_cntr" value="C0" />
<parameter name="gui_dps_dir" value="Positive" />
<parameter name="gui_dps_num" value="1" />
<parameter name="gui_dsm_out_sel" value="1st_order" />
<parameter name="gui_duty_cycle0" value="50.0" />
<parameter name="gui_duty_cycle1" value="50.0" />
<parameter name="gui_duty_cycle10" value="50.0" />
<parameter name="gui_duty_cycle11" value="50.0" />
<parameter name="gui_duty_cycle12" value="50.0" />
<parameter name="gui_duty_cycle13" value="50.0" />
<parameter name="gui_duty_cycle14" value="50.0" />
<parameter name="gui_duty_cycle15" value="50.0" />
<parameter name="gui_duty_cycle16" value="50.0" />
<parameter name="gui_duty_cycle17" value="50.0" />
<parameter name="gui_duty_cycle2" value="50.0" />
<parameter name="gui_duty_cycle3" value="50.0" />
<parameter name="gui_duty_cycle4" value="50.0" />
<parameter name="gui_duty_cycle5" value="50.0" />
<parameter name="gui_duty_cycle6" value="50.0" />
<parameter name="gui_duty_cycle7" value="50.0" />
<parameter name="gui_duty_cycle8" value="50.0" />
<parameter name="gui_duty_cycle9" value="50.0" />
<parameter name="gui_en_adv_params" value="false" />
<parameter name="gui_en_dps_ports" value="false" />
<parameter name="gui_en_extclkout_ports" value="false" />
<parameter name="gui_en_lvds_ports" value="Disabled" />
<parameter name="gui_en_phout_ports" value="false" />
<parameter name="gui_en_reconf" value="true" />
<parameter name="gui_enable_cascade_in" value="false" />
<parameter name="gui_enable_cascade_out" value="false" />
<parameter name="gui_enable_mif_dps" value="false" />
<parameter name="gui_enable_output_counter_cascading" value="false" />
<parameter name="gui_extclkout_0_source" value="C0" />
<parameter name="gui_extclkout_1_source" value="C0" />
<parameter name="gui_feedback_clock" value="Global Clock" />
<parameter name="gui_fix_vco_frequency" value="false" />
<parameter name="gui_fixed_vco_frequency" value="600.0" />
<parameter name="gui_frac_multiply_factor" value="1" />
<parameter name="gui_fractional_cout" value="32" />
<parameter name="gui_mif_generate" value="false" />
<parameter name="gui_multiply_factor" value="6" />
<parameter name="gui_number_of_clocks" value="1" />
<parameter name="gui_operation_mode" value="direct" />
<parameter name="gui_output_clock_frequency0" value="250.0" />
<parameter name="gui_output_clock_frequency1" value="100.0" />
<parameter name="gui_output_clock_frequency10" value="100.0" />
<parameter name="gui_output_clock_frequency11" value="100.0" />
<parameter name="gui_output_clock_frequency12" value="100.0" />
<parameter name="gui_output_clock_frequency13" value="100.0" />
<parameter name="gui_output_clock_frequency14" value="100.0" />
<parameter name="gui_output_clock_frequency15" value="100.0" />
<parameter name="gui_output_clock_frequency16" value="100.0" />
<parameter name="gui_output_clock_frequency17" value="100.0" />
<parameter name="gui_output_clock_frequency2" value="100.0" />
<parameter name="gui_output_clock_frequency3" value="100.0" />
<parameter name="gui_output_clock_frequency4" value="100.0" />
<parameter name="gui_output_clock_frequency5" value="100.0" />
<parameter name="gui_output_clock_frequency6" value="100.0" />
<parameter name="gui_output_clock_frequency7" value="100.0" />
<parameter name="gui_output_clock_frequency8" value="100.0" />
<parameter name="gui_output_clock_frequency9" value="100.0" />
<parameter name="gui_phase_shift0" value="0.0" />
<parameter name="gui_phase_shift1" value="0.0" />
<parameter name="gui_phase_shift10" value="0.0" />
<parameter name="gui_phase_shift11" value="0.0" />
<parameter name="gui_phase_shift12" value="0.0" />
<parameter name="gui_phase_shift13" value="0.0" />
<parameter name="gui_phase_shift14" value="0.0" />
<parameter name="gui_phase_shift15" value="0.0" />
<parameter name="gui_phase_shift16" value="0.0" />
<parameter name="gui_phase_shift17" value="0.0" />
<parameter name="gui_phase_shift2" value="0.0" />
<parameter name="gui_phase_shift3" value="0.0" />
<parameter name="gui_phase_shift4" value="0.0" />
<parameter name="gui_phase_shift5" value="0.0" />
<parameter name="gui_phase_shift6" value="0.0" />
<parameter name="gui_phase_shift7" value="0.0" />
<parameter name="gui_phase_shift8" value="0.0" />
<parameter name="gui_phase_shift9" value="0.0" />
<parameter name="gui_phase_shift_deg0" value="0.0" />
<parameter name="gui_phase_shift_deg1" value="0.0" />
<parameter name="gui_phase_shift_deg10" value="0.0" />
<parameter name="gui_phase_shift_deg11" value="0.0" />
<parameter name="gui_phase_shift_deg12" value="0.0" />
<parameter name="gui_phase_shift_deg13" value="0.0" />
<parameter name="gui_phase_shift_deg14" value="0.0" />
<parameter name="gui_phase_shift_deg15" value="0.0" />
<parameter name="gui_phase_shift_deg16" value="0.0" />
<parameter name="gui_phase_shift_deg17" value="0.0" />
<parameter name="gui_phase_shift_deg2" value="0.0" />
<parameter name="gui_phase_shift_deg3" value="0.0" />
<parameter name="gui_phase_shift_deg4" value="0.0" />
<parameter name="gui_phase_shift_deg5" value="0.0" />
<parameter name="gui_phase_shift_deg6" value="0.0" />
<parameter name="gui_phase_shift_deg7" value="0.0" />
<parameter name="gui_phase_shift_deg8" value="0.0" />
<parameter name="gui_phase_shift_deg9" value="0.0" />
<parameter name="gui_phout_division" value="1" />
<parameter name="gui_pll_auto_reset" value="false" />
<parameter name="gui_pll_bandwidth_preset" value="Low" />
<parameter name="gui_pll_cascading_mode" value="adjpllin" />
<parameter name="gui_pll_mode" value="Integer-N PLL" />
<parameter name="gui_ps_units0" value="ps" />
<parameter name="gui_ps_units1" value="ps" />
<parameter name="gui_ps_units10" value="ps" />
<parameter name="gui_ps_units11" value="ps" />
<parameter name="gui_ps_units12" value="ps" />
<parameter name="gui_ps_units13" value="ps" />
<parameter name="gui_ps_units14" value="ps" />
<parameter name="gui_ps_units15" value="ps" />
<parameter name="gui_ps_units16" value="ps" />
<parameter name="gui_ps_units17" value="ps" />
<parameter name="gui_ps_units2" value="ps" />
<parameter name="gui_ps_units3" value="ps" />
<parameter name="gui_ps_units4" value="ps" />
<parameter name="gui_ps_units5" value="ps" />
<parameter name="gui_ps_units6" value="ps" />
<parameter name="gui_ps_units7" value="ps" />
<parameter name="gui_ps_units8" value="ps" />
<parameter name="gui_ps_units9" value="ps" />
<parameter name="gui_refclk1_frequency" value="100.0" />
<parameter name="gui_refclk_switch" value="false" />
<parameter name="gui_reference_clock_frequency" value="500.0" />
<parameter name="gui_switchover_delay" value="0" />
<parameter name="gui_switchover_mode">Automatic Switchover</parameter>
<parameter name="gui_use_NDFB_modes" value="false" />
<parameter name="gui_use_locked" value="false" />
<parameter name="gui_vco_frequency" value="600.0" />
<parameter name="system_info_device_component" value="10AX115S3F45E2SGE3" />
<parameter name="system_info_device_family" value="Arria 10" />
<parameter name="system_info_device_speed_grade" value="2" />
<parameter name="system_part_trait_speed_grade" value="2" />
</module>
<module
name="xcvr_tx_pll_reconfig"
kind="altera_pll_reconfig"
version="15.1"
enabled="1">
<parameter name="ENABLE_BYTEENABLE" value="false" />
<parameter name="ENABLE_MIF" value="false" />
<parameter name="MIF_FILE_NAME" value="" />
<parameter name="device_family" value="Arria 10" />
</module>
<module
name="xcvr_tx_ref_clk"
kind="altera_clock_bridge"
version="15.1"
enabled="1">
<parameter name="DERIVED_CLOCK_RATE" value="0" />
<parameter name="EXPLICIT_CLOCK_RATE" value="500000000" />
<parameter name="NUM_CLOCK_OUTPUTS" value="1" />
</module>
<connection
kind="avalon_streaming"
version="15.1"
start="axi_jesd_xcvr.if_tx_ip_avl"
end="xcvr_core.jesd204_tx_link" />
<connection
kind="avalon_streaming"
version="15.1"
start="xcvr_core.jesd204_rx_link"
end="axi_jesd_xcvr.if_rx_ip_avl" />
<connection kind="clock" version="15.1" start="sys_clk.out_clk" end="sys_rst.clk" />
<connection kind="clock" version="15.1" start="mem_clk.out_clk" end="mem_rst.clk" />
<connection
kind="clock"
version="15.1"
start="sys_clk.out_clk"
end="xcvr_rst_cntrl.clock" />
<connection
kind="clock"
version="15.1"
start="mem_clk.out_clk"
end="ad9680_adcfifo.if_dma_clk" />
<connection
kind="clock"
version="15.1"
start="mem_clk.out_clk"
end="axi_ad9680_dma.if_s_axis_aclk" />
<connection
kind="clock"
version="15.1"
start="sys_clk.out_clk"
end="xcvr_core.jesd204_rx_avs_clk" />
<connection
kind="clock"
version="15.1"
start="sys_clk.out_clk"
end="xcvr_core.jesd204_tx_avs_clk" />
<connection
kind="clock"
version="15.1"
start="mem_clk.out_clk"
end="axi_ad9680_dma.m_dest_axi_clock" />
<connection
kind="clock"
version="15.1"
start="mem_clk.out_clk"
end="axi_ad9152_dma.m_src_axi_clock" />
<connection
kind="clock"
version="15.1"
start="sys_clk.out_clk"
end="xcvr_tx_pll_reconfig.mgmt_clk" />
<connection
kind="clock"
version="15.1"
start="sys_clk.out_clk"
end="xcvr_rx_pll_reconfig.mgmt_clk" />
<connection
kind="clock"
version="15.1"
start="xcvr_tx_ref_clk.out_clk"
end="xcvr_tx_lane_pll.pll_refclk0" />
<connection
kind="clock"
version="15.1"
start="sys_clk.out_clk"
end="xcvr_core.reconfig_clk" />
<connection
kind="clock"
version="15.1"
start="sys_clk.out_clk"
end="xcvr_tx_lane_pll.reconfig_clk0" />
<connection
kind="clock"
version="15.1"
start="xcvr_tx_ref_clk.out_clk"
end="xcvr_tx_pll.refclk" />
<connection
kind="clock"
version="15.1"
start="xcvr_rx_ref_clk.out_clk"
end="xcvr_rx_pll.refclk" />
<connection
kind="clock"
version="15.1"
start="xcvr_rx_ref_clk.out_clk"
end="xcvr_core.rx_pll_ref_clk" />
<connection
kind="clock"
version="15.1"
start="sys_clk.out_clk"
end="axi_ad9680_dma.s_axi_clock" />
<connection
kind="clock"
version="15.1"
start="sys_clk.out_clk"
end="axi_ad9680_core.s_axi_clock" />
<connection
kind="clock"
version="15.1"
start="sys_clk.out_clk"
end="axi_ad9152_core.s_axi_clock" />
<connection
kind="clock"
version="15.1"
start="sys_clk.out_clk"
end="axi_jesd_xcvr.s_axi_clock" />
<connection
kind="clock"
version="15.1"
start="sys_clk.out_clk"
end="axi_ad9152_dma.s_axi_clock" />
<connection
kind="clock"
version="15.1"
start="xcvr_rx_pll.outclk0"
end="util_cpack_0.if_adc_clk" />
<connection
kind="clock"
version="15.1"
start="xcvr_rx_pll.outclk0"
end="ad9680_adcfifo.if_adc_clk" />
<connection
kind="clock"
version="15.1"
start="xcvr_tx_pll.outclk0"
end="util_upack_0.if_dac_clk" />
<connection
kind="clock"
version="15.1"
start="xcvr_tx_pll.outclk0"
end="axi_ad9152_dma.if_fifo_rd_clk" />
<connection
kind="clock"
version="15.1"
start="xcvr_rx_pll.outclk0"
end="axi_ad9680_core.if_rx_clk" />
<connection
kind="clock"
version="15.1"
start="xcvr_rx_pll.outclk0"
end="axi_jesd_xcvr.if_rx_clk" />
<connection
kind="clock"
version="15.1"
start="xcvr_tx_pll.outclk0"
end="axi_ad9152_core.if_tx_clk" />
<connection
kind="clock"
version="15.1"
start="xcvr_tx_pll.outclk0"
end="axi_jesd_xcvr.if_tx_clk" />
<connection
kind="clock"
version="15.1"
start="xcvr_rx_pll.outclk0"
end="xcvr_core.rxlink_clk" />
<connection
kind="clock"
version="15.1"
start="xcvr_tx_pll.outclk0"
end="xcvr_core.txlink_clk" />
<connection
kind="conduit"
version="15.1"
start="axi_ad9680_core.adc_ch_0"
end="util_cpack_0.adc_ch_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="axi_ad9680_core.adc_ch_1"
end="util_cpack_0.adc_ch_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="xcvr_core.alldev_lane_aligned"
end="xcvr_core.dev_lane_aligned">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="axi_ad9152_core.dac_ch_0"
end="util_upack_0.dac_ch_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="axi_ad9152_core.dac_ch_1"
end="util_upack_0.dac_ch_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="util_cpack_0.if_adc_data"
end="ad9680_adcfifo.if_adc_wdata">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="util_cpack_0.if_adc_valid"
end="ad9680_adcfifo.if_adc_wr">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="ad9680_adcfifo.if_adc_wovf"
end="axi_ad9680_core.if_adc_dovf">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="util_upack_0.if_dac_valid"
end="axi_ad9152_dma.if_fifo_rd_en">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="ad9680_adcfifo.if_dma_wdata"
end="axi_ad9680_dma.if_s_axis_data">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="ad9680_adcfifo.if_dma_wr"
end="axi_ad9680_dma.if_s_axis_valid">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="ad9680_adcfifo.if_dma_wready"
end="axi_ad9680_dma.if_s_axis_ready">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="ad9680_adcfifo.if_dma_xfer_req"
end="axi_ad9680_dma.if_s_axis_xfer_req">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="axi_ad9152_dma.if_fifo_rd_dout"
end="util_upack_0.if_dac_data">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="axi_ad9152_dma.if_fifo_rd_underflow"
end="axi_ad9152_core.if_dac_dunf">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="axi_ad9680_core.if_rx_data"
end="axi_jesd_xcvr.if_rx_data">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="axi_jesd_xcvr.if_rx_ip_sof"
end="xcvr_core.rx_sof">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="axi_jesd_xcvr.if_rx_ip_sync"
end="xcvr_core.rx_dev_sync_n">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="axi_jesd_xcvr.if_rx_ip_sysref"
end="xcvr_core.rx_sysref">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="axi_jesd_xcvr.if_rx_ready"
end="xcvr_rst_cntrl.rx_ready">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="axi_jesd_xcvr.if_tx_data"
end="axi_ad9152_core.if_tx_data">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="axi_jesd_xcvr.if_tx_ip_sysref"
end="xcvr_core.tx_sysref">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="xcvr_tx_lane_pll.pll_cal_busy"
end="xcvr_rst_cntrl.pll_cal_busy">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="xcvr_tx_lane_pll.pll_locked"
end="xcvr_rst_cntrl.pll_locked">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="xcvr_rst_cntrl.pll_powerdown"
end="xcvr_tx_lane_pll.pll_powerdown">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="xcvr_tx_pll_reconfig.reconfig_from_pll"
end="xcvr_tx_pll.reconfig_from_pll">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="xcvr_rx_pll.reconfig_from_pll"
end="xcvr_rx_pll_reconfig.reconfig_from_pll">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="xcvr_tx_pll.reconfig_to_pll"
end="xcvr_tx_pll_reconfig.reconfig_to_pll">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="xcvr_rx_pll_reconfig.reconfig_to_pll"
end="xcvr_rx_pll.reconfig_to_pll">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="xcvr_rst_cntrl.rx_analogreset"
end="xcvr_core.rx_analogreset">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="xcvr_core.rx_cal_busy"
end="xcvr_rst_cntrl.rx_cal_busy">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="xcvr_core.rx_digitalreset"
end="xcvr_rst_cntrl.rx_digitalreset">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="xcvr_rst_cntrl.rx_is_lockedtodata"
end="xcvr_core.rx_islockedtodata">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="xcvr_core.sync_n"
end="axi_jesd_xcvr.if_tx_ip_sync">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="xcvr_rst_cntrl.tx_analogreset"
end="xcvr_core.tx_analogreset">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="xcvr_rst_cntrl.tx_cal_busy"
end="xcvr_core.tx_cal_busy">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="xcvr_core.tx_dev_sync_n"
end="xcvr_core.mdev_sync_n">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="xcvr_core.tx_digitalreset"
end="xcvr_rst_cntrl.tx_digitalreset">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.1"
start="xcvr_rst_cntrl.tx_ready"
end="axi_jesd_xcvr.if_tx_ready">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="hssi_serial_clock"
version="15.1"
start="xcvr_tx_lane_pll.tx_serial_clk"
end="xcvr_core.tx_serial_clk0_ch0" />
<connection
kind="hssi_serial_clock"
version="15.1"
start="xcvr_tx_lane_pll.tx_serial_clk"
end="xcvr_core.tx_serial_clk0_ch1" />
<connection
kind="hssi_serial_clock"
version="15.1"
start="xcvr_tx_lane_pll.tx_serial_clk"
end="xcvr_core.tx_serial_clk0_ch2" />
<connection
kind="hssi_serial_clock"
version="15.1"
start="xcvr_tx_lane_pll.tx_serial_clk"
end="xcvr_core.tx_serial_clk0_ch3" />
<connection
kind="reset"
version="15.1"
start="axi_jesd_xcvr.if_rst"
end="xcvr_tx_pll.reset" />
<connection
kind="reset"
version="15.1"
start="axi_jesd_xcvr.if_rst"
end="xcvr_rx_pll.reset" />
<connection
kind="reset"
version="15.1"
start="axi_jesd_xcvr.if_rst"
end="xcvr_rst_cntrl.reset" />
<connection
kind="reset"
version="15.1"
start="axi_jesd_xcvr.if_rx_rstn"
end="xcvr_core.rxlink_rst_n" />
<connection
kind="reset"
version="15.1"
start="axi_jesd_xcvr.if_tx_rstn"
end="xcvr_core.txlink_rst_n" />
<connection
kind="reset"
version="15.1"
start="sys_rst.out_reset"
end="util_cpack_0.if_adc_rst" />
<connection
kind="reset"
version="15.1"
start="mem_rst.out_reset"
end="util_cpack_0.if_adc_rst" />
<connection
kind="reset"
version="15.1"
start="sys_rst.out_reset"
end="ad9680_adcfifo.if_adc_rst" />
<connection
kind="reset"
version="15.1"
start="mem_rst.out_reset"
end="ad9680_adcfifo.if_adc_rst" />
<connection
kind="reset"
version="15.1"
start="sys_rst.out_reset"
end="xcvr_core.jesd204_rx_avs_rst_n" />
<connection
kind="reset"
version="15.1"
start="sys_rst.out_reset"
end="xcvr_core.jesd204_tx_avs_rst_n" />
<connection
kind="reset"
version="15.1"
start="mem_rst.out_reset"
end="axi_ad9680_dma.m_dest_axi_reset" />
<connection
kind="reset"
version="15.1"
start="mem_rst.out_reset"
end="axi_ad9152_dma.m_src_axi_reset" />
<connection
kind="reset"
version="15.1"
start="sys_rst.out_reset"
end="xcvr_tx_pll_reconfig.mgmt_reset" />
<connection
kind="reset"
version="15.1"
start="sys_rst.out_reset"
end="xcvr_rx_pll_reconfig.mgmt_reset" />
<connection
kind="reset"
version="15.1"
start="sys_rst.out_reset"
end="xcvr_core.reconfig_reset" />
<connection
kind="reset"
version="15.1"
start="sys_rst.out_reset"
end="xcvr_tx_lane_pll.reconfig_reset0" />
<connection
kind="reset"
version="15.1"
start="sys_rst.out_reset"
end="xcvr_tx_pll.reset" />
<connection
kind="reset"
version="15.1"
start="sys_rst.out_reset"
end="xcvr_rx_pll.reset" />
<connection
kind="reset"
version="15.1"
start="sys_rst.out_reset"
end="xcvr_rst_cntrl.reset" />
<connection
kind="reset"
version="15.1"
start="sys_rst.out_reset"
end="axi_jesd_xcvr.s_axi_reset" />
<connection
kind="reset"
version="15.1"
start="sys_rst.out_reset"
end="axi_ad9152_core.s_axi_reset" />
<connection
kind="reset"
version="15.1"
start="sys_rst.out_reset"
end="axi_ad9680_core.s_axi_reset" />
<connection
kind="reset"
version="15.1"
start="sys_rst.out_reset"
end="axi_ad9680_dma.s_axi_reset" />
<connection
kind="reset"
version="15.1"
start="sys_rst.out_reset"
end="axi_ad9152_dma.s_axi_reset" />
<interconnectRequirement for="$system" name="qsys_mm.clockCrossingAdapter" value="FIFO" />
<interconnectRequirement for="$system" name="qsys_mm.insertDefaultSlave" value="false" />
<interconnectRequirement for="$system" name="qsys_mm.maxAdditionalLatency" value="2" />
</system>